在好例子网,分享、交流、成长!
您当前所在位置:首页C/C++ 开发实例嵌入式开发 → ZYNQ操作GPIO

ZYNQ操作GPIO

嵌入式开发

下载此实例
  • 开发语言:C/C++
  • 实例大小:5.58M
  • 下载次数:12
  • 浏览次数:186
  • 发布时间:2020-09-05
  • 实例类别:嵌入式开发
  • 发 布 人:cht1999
  • 文件格式:.rar
  • 所需积分:2
 相关标签: gpio GP IO 操作

实例介绍

【实例简介】ZYNQ操作GPIO
【实例截图】

【核心代码】

2_gpio_mio

├── gpio_mio.cache
│   ├── compile_simlib
│   │   ├── activehdl
│   │   ├── ies
│   │   ├── modelsim
│   │   ├── questa
│   │   ├── riviera
│   │   ├── vcs
│   │   └── xcelium
│   └── wt
│       ├── gui_handlers.wdf
│       ├── java_command_handlers.wdf
│       ├── project.wpc
│       └── webtalk_pa.xml
├── gpio_mio.hw
│   ├── gpio_mio.lpr
│   └── hw_1
│       ├── hw.xml
│       └── wave
├── gpio_mio.ip_user_files
│   ├── README.txt
│   ├── bd
│   │   └── system
│   │       ├── ip
│   │       │   └── system_processing_system7_0_0
│   │       │       └── sim
│   │       │           └── system_processing_system7_0_0.v
│   │       └── sim
│   │           ├── system.protoinst
│   │           └── system.v
│   ├── mem_init_files
│   │   ├── libps7.dll
│   │   ├── libps7.so
│   │   ├── libremoteport.dll
│   │   ├── libremoteport.so
│   │   ├── ps7_init.html
│   │   └── ps7_init.tcl
│   └── sim_scripts
│       └── system
│           ├── README.txt
│           ├── activehdl
│           │   ├── README.txt
│           │   ├── compile.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── libps7.dll
│           │   ├── libps7.so
│           │   ├── libremoteport.dll
│           │   ├── libremoteport.so
│           │   ├── ps7_init.html
│           │   ├── ps7_init.tcl
│           │   ├── simulate.do
│           │   ├── system.sh
│           │   ├── system.udo
│           │   └── wave.do
│           ├── ies
│           │   ├── README.txt
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── libps7.dll
│           │   ├── libps7.so
│           │   ├── libremoteport.dll
│           │   ├── libremoteport.so
│           │   ├── ps7_init.html
│           │   ├── ps7_init.tcl
│           │   ├── run.f
│           │   └── system.sh
│           ├── modelsim
│           │   ├── README.txt
│           │   ├── compile.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── libps7.dll
│           │   ├── libps7.so
│           │   ├── libremoteport.dll
│           │   ├── libremoteport.so
│           │   ├── ps7_init.html
│           │   ├── ps7_init.tcl
│           │   ├── simulate.do
│           │   ├── system.sh
│           │   ├── system.udo
│           │   └── wave.do
│           ├── questa
│           │   ├── README.txt
│           │   ├── compile.do
│           │   ├── elaborate.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── libps7.dll
│           │   ├── libps7.so
│           │   ├── libremoteport.dll
│           │   ├── libremoteport.so
│           │   ├── ps7_init.html
│           │   ├── ps7_init.tcl
│           │   ├── simulate.do
│           │   ├── system.sh
│           │   ├── system.udo
│           │   └── wave.do
│           ├── riviera
│           │   ├── README.txt
│           │   ├── compile.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── libps7.dll
│           │   ├── libps7.so
│           │   ├── libremoteport.dll
│           │   ├── libremoteport.so
│           │   ├── ps7_init.html
│           │   ├── ps7_init.tcl
│           │   ├── simulate.do
│           │   ├── system.sh
│           │   ├── system.udo
│           │   └── wave.do
│           ├── vcs
│           │   ├── README.txt
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── libps7.dll
│           │   ├── libps7.so
│           │   ├── libremoteport.dll
│           │   ├── libremoteport.so
│           │   ├── ps7_init.html
│           │   ├── ps7_init.tcl
│           │   ├── simulate.do
│           │   └── system.sh
│           ├── xcelium
│           │   ├── README.txt
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── libps7.dll
│           │   ├── libps7.so
│           │   ├── libremoteport.dll
│           │   ├── libremoteport.so
│           │   ├── ps7_init.html
│           │   ├── ps7_init.tcl
│           │   ├── run.f
│           │   └── system.sh
│           └── xsim
│               ├── README.txt
│               ├── cmd.tcl
│               ├── elab.opt
│               ├── file_info.txt
│               ├── glbl.v
│               ├── libps7.dll
│               ├── libps7.so
│               ├── libremoteport.dll
│               ├── libremoteport.so
│               ├── protoinst_files
│               │   └── system.protoinst
│               ├── ps7_init.html
│               ├── ps7_init.tcl
│               ├── system.sh
│               ├── vlog.prj
│               └── xsim.ini
├── gpio_mio.sdk
│   ├── RemoteSystemsTempFiles
│   ├── SDK.log
│   ├── gpio_mio
│   │   ├── Debug
│   │   │   ├── Xilinx.spec
│   │   │   ├── gpio_mio.elf
│   │   │   ├── gpio_mio.elf.size
│   │   │   ├── makefile
│   │   │   ├── objects.mk
│   │   │   ├── sources.mk
│   │   │   └── src
│   │   │       ├── main.d
│   │   │       ├── main.o
│   │   │       └── subdir.mk
│   │   └── src
│   │       ├── README.txt
│   │       ├── Xilinx.spec
│   │       ├── lscript.ld
│   │       └── main.c
│   ├── gpio_mio_bsp
│   │   ├── Makefile
│   │   ├── ps7_cortexa9_0
│   │   │   ├── code
│   │   │   ├── include
│   │   │   │   ├── _profile_timer_hw.h
│   │   │   │   ├── bspconfig.h
│   │   │   │   ├── mblaze_nt_types.h
│   │   │   │   ├── profile.h
│   │   │   │   ├── sleep.h
│   │   │   │   ├── smc.h
│   │   │   │   ├── vectors.h
│   │   │   │   ├── xadcps.h
│   │   │   │   ├── xadcps_hw.h
│   │   │   │   ├── xbasic_types.h
│   │   │   │   ├── xcoresightpsdcc.h
│   │   │   │   ├── xcpu_cortexa9.h
│   │   │   │   ├── xddrps.h
│   │   │   │   ├── xdebug.h
│   │   │   │   ├── xdevcfg.h
│   │   │   │   ├── xdevcfg_hw.h
│   │   │   │   ├── xdmaps.h
│   │   │   │   ├── xdmaps_hw.h
│   │   │   │   ├── xenv.h
│   │   │   │   ├── xenv_standalone.h
│   │   │   │   ├── xgpiops.h
│   │   │   │   ├── xgpiops_hw.h
│   │   │   │   ├── xil_assert.h
│   │   │   │   ├── xil_cache.h
│   │   │   │   ├── xil_cache_l.h
│   │   │   │   ├── xil_cache_vxworks.h
│   │   │   │   ├── xil_errata.h
│   │   │   │   ├── xil_exception.h
│   │   │   │   ├── xil_hal.h
│   │   │   │   ├── xil_io.h
│   │   │   │   ├── xil_macroback.h
│   │   │   │   ├── xil_mem.h
│   │   │   │   ├── xil_misc_psreset_api.h
│   │   │   │   ├── xil_mmu.h
│   │   │   │   ├── xil_printf.h
│   │   │   │   ├── xil_sleeptimer.h
│   │   │   │   ├── xil_testcache.h
│   │   │   │   ├── xil_testio.h
│   │   │   │   ├── xil_testmem.h
│   │   │   │   ├── xil_types.h
│   │   │   │   ├── xl2cc.h
│   │   │   │   ├── xl2cc_counter.h
│   │   │   │   ├── xparameters.h
│   │   │   │   ├── xparameters_ps.h
│   │   │   │   ├── xplatform_info.h
│   │   │   │   ├── xpm_counter.h
│   │   │   │   ├── xpseudo_asm.h
│   │   │   │   ├── xpseudo_asm_gcc.h
│   │   │   │   ├── xreg_cortexa9.h
│   │   │   │   ├── xscugic.h
│   │   │   │   ├── xscugic_hw.h
│   │   │   │   ├── xscutimer.h
│   │   │   │   ├── xscutimer_hw.h
│   │   │   │   ├── xscuwdt.h
│   │   │   │   ├── xscuwdt_hw.h
│   │   │   │   ├── xstatus.h
│   │   │   │   ├── xtime_l.h
│   │   │   │   ├── xuartps.h
│   │   │   │   └── xuartps_hw.h
│   │   │   ├── lib
│   │   │   │   └── libxil.a
│   │   │   └── libsrc
│   │   │       ├── coresightps_dcc_v1_4
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── xcoresightpsdcc.c
│   │   │       │       └── xcoresightpsdcc.h
│   │   │       ├── cpu_cortexa9_v2_7
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       └── xcpu_cortexa9.h
│   │   │       ├── ddrps_v1_0
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       └── xddrps.h
│   │   │       ├── devcfg_v3_5
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── xdevcfg.c
│   │   │       │       ├── xdevcfg.h
│   │   │       │       ├── xdevcfg_g.c
│   │   │       │       ├── xdevcfg_hw.c
│   │   │       │       ├── xdevcfg_hw.h
│   │   │       │       ├── xdevcfg_intr.c
│   │   │       │       ├── xdevcfg_selftest.c
│   │   │       │       └── xdevcfg_sinit.c
│   │   │       ├── dmaps_v2_4
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── xdmaps.c
│   │   │       │       ├── xdmaps.h
│   │   │       │       ├── xdmaps_g.c
│   │   │       │       ├── xdmaps_hw.c
│   │   │       │       ├── xdmaps_hw.h
│   │   │       │       ├── xdmaps_selftest.c
│   │   │       │       └── xdmaps_sinit.c
│   │   │       ├── generic_v2_0
│   │   │       │   └── src
│   │   │       ├── gpiops_v3_4
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── xgpiops.c
│   │   │       │       ├── xgpiops.h
│   │   │       │       ├── xgpiops_g.c
│   │   │       │       ├── xgpiops_hw.c
│   │   │       │       ├── xgpiops_hw.h
│   │   │       │       ├── xgpiops_intr.c
│   │   │       │       ├── xgpiops_selftest.c
│   │   │       │       └── xgpiops_sinit.c
│   │   │       ├── scugic_v3_10
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── xscugic.c
│   │   │       │       ├── xscugic.h
│   │   │       │       ├── xscugic_g.c
│   │   │       │       ├── xscugic_hw.c
│   │   │       │       ├── xscugic_hw.h
│   │   │       │       ├── xscugic_intr.c
│   │   │       │       ├── xscugic_selftest.c
│   │   │       │       └── xscugic_sinit.c
│   │   │       ├── scutimer_v2_1
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── xscutimer.c
│   │   │       │       ├── xscutimer.h
│   │   │       │       ├── xscutimer_g.c
│   │   │       │       ├── xscutimer_hw.h
│   │   │       │       ├── xscutimer_selftest.c
│   │   │       │       └── xscutimer_sinit.c
│   │   │       ├── scuwdt_v2_1
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── xscuwdt.c
│   │   │       │       ├── xscuwdt.h
│   │   │       │       ├── xscuwdt_g.c
│   │   │       │       ├── xscuwdt_hw.h
│   │   │       │       ├── xscuwdt_selftest.c
│   │   │       │       └── xscuwdt_sinit.c
│   │   │       ├── standalone_v6_8
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── _exit.c
│   │   │       │       ├── _exit.o
│   │   │       │       ├── _open.c
│   │   │       │       ├── _open.o
│   │   │       │       ├── _sbrk.c
│   │   │       │       ├── _sbrk.o
│   │   │       │       ├── abort.c
│   │   │       │       ├── abort.o
│   │   │       │       ├── asm_vectors.S
│   │   │       │       ├── asm_vectors.o
│   │   │       │       ├── boot.S
│   │   │       │       ├── boot.o
│   │   │       │       ├── bspconfig.h
│   │   │       │       ├── changelog.txt
│   │   │       │       ├── close.c
│   │   │       │       ├── close.o
│   │   │       │       ├── config.make
│   │   │       │       ├── cpu_init.S
│   │   │       │       ├── cpu_init.o
│   │   │       │       ├── errno.c
│   │   │       │       ├── errno.o
│   │   │       │       ├── fcntl.c
│   │   │       │       ├── fcntl.o
│   │   │       │       ├── fstat.c
│   │   │       │       ├── fstat.o
│   │   │       │       ├── getpid.c
│   │   │       │       ├── getpid.o
│   │   │       │       ├── inbyte.c
│   │   │       │       ├── inbyte.o
│   │   │       │       ├── isatty.c
│   │   │       │       ├── isatty.o
│   │   │       │       ├── kill.c
│   │   │       │       ├── kill.o
│   │   │       │       ├── lseek.c
│   │   │       │       ├── lseek.o
│   │   │       │       ├── open.c
│   │   │       │       ├── open.o
│   │   │       │       ├── outbyte.c
│   │   │       │       ├── outbyte.o
│   │   │       │       ├── print.c
│   │   │       │       ├── print.o
│   │   │       │       ├── profile
│   │   │       │       │   ├── Makefile
│   │   │       │       │   ├── _profile_clean.c
│   │   │       │       │   ├── _profile_init.c
│   │   │       │       │   ├── _profile_timer_hw.c
│   │   │       │       │   ├── _profile_timer_hw.h
│   │   │       │       │   ├── dummy.S
│   │   │       │       │   ├── mblaze_nt_types.h
│   │   │       │       │   ├── profile.h
│   │   │       │       │   ├── profile_cg.c
│   │   │       │       │   ├── profile_config.h
│   │   │       │       │   ├── profile_hist.c
│   │   │       │       │   ├── profile_mcount_arm.S
│   │   │       │       │   ├── profile_mcount_mb.S
│   │   │       │       │   └── profile_mcount_ppc.S
│   │   │       │       ├── putnum.c
│   │   │       │       ├── putnum.o
│   │   │       │       ├── read.c
│   │   │       │       ├── read.o
│   │   │       │       ├── sbrk.c
│   │   │       │       ├── sbrk.o
│   │   │       │       ├── sleep.c
│   │   │       │       ├── sleep.h
│   │   │       │       ├── sleep.o
│   │   │       │       ├── smc.h
│   │   │       │       ├── translation_table.S
│   │   │       │       ├── translation_table.o
│   │   │       │       ├── unlink.c
│   │   │       │       ├── unlink.o
│   │   │       │       ├── usleep.c
│   │   │       │       ├── usleep.o
│   │   │       │       ├── vectors.c
│   │   │       │       ├── vectors.h
│   │   │       │       ├── vectors.o
│   │   │       │       ├── write.c
│   │   │       │       ├── write.o
│   │   │       │       ├── xbasic_types.h
│   │   │       │       ├── xdebug.h
│   │   │       │       ├── xenv.h
│   │   │       │       ├── xenv_standalone.h
│   │   │       │       ├── xil-crt0.S
│   │   │       │       ├── xil-crt0.o
│   │   │       │       ├── xil_assert.c
│   │   │       │       ├── xil_assert.h
│   │   │       │       ├── xil_assert.o
│   │   │       │       ├── xil_cache.c
│   │   │       │       ├── xil_cache.h
│   │   │       │       ├── xil_cache.o
│   │   │       │       ├── xil_cache_l.h
│   │   │       │       ├── xil_cache_vxworks.h
│   │   │       │       ├── xil_errata.h
│   │   │       │       ├── xil_exception.c
│   │   │       │       ├── xil_exception.h
│   │   │       │       ├── xil_exception.o
│   │   │       │       ├── xil_hal.h
│   │   │       │       ├── xil_io.c
│   │   │       │       ├── xil_io.h
│   │   │       │       ├── xil_io.o
│   │   │       │       ├── xil_macroback.h
│   │   │       │       ├── xil_mem.c
│   │   │       │       ├── xil_mem.h
│   │   │       │       ├── xil_mem.o
│   │   │       │       ├── xil_misc_psreset_api.c
│   │   │       │       ├── xil_misc_psreset_api.h
│   │   │       │       ├── xil_misc_psreset_api.o
│   │   │       │       ├── xil_mmu.c
│   │   │       │       ├── xil_mmu.h
│   │   │       │       ├── xil_mmu.o
│   │   │       │       ├── xil_printf.c
│   │   │       │       ├── xil_printf.h
│   │   │       │       ├── xil_printf.o
│   │   │       │       ├── xil_sleepcommon.c
│   │   │       │       ├── xil_sleepcommon.o
│   │   │       │       ├── xil_sleeptimer.c
│   │   │       │       ├── xil_sleeptimer.h
│   │   │       │       ├── xil_sleeptimer.o
│   │   │       │       ├── xil_testcache.c
│   │   │       │       ├── xil_testcache.h
│   │   │       │       ├── xil_testcache.o
│   │   │       │       ├── xil_testio.c
│   │   │       │       ├── xil_testio.h
│   │   │       │       ├── xil_testio.o
│   │   │       │       ├── xil_testmem.c
│   │   │       │       ├── xil_testmem.h
│   │   │       │       ├── xil_testmem.o
│   │   │       │       ├── xil_types.h
│   │   │       │       ├── xl2cc.h
│   │   │       │       ├── xl2cc_counter.c
│   │   │       │       ├── xl2cc_counter.h
│   │   │       │       ├── xl2cc_counter.o
│   │   │       │       ├── xparameters_ps.h
│   │   │       │       ├── xplatform_info.c
│   │   │       │       ├── xplatform_info.h
│   │   │       │       ├── xplatform_info.o
│   │   │       │       ├── xpm_counter.c
│   │   │       │       ├── xpm_counter.h
│   │   │       │       ├── xpm_counter.o
│   │   │       │       ├── xpseudo_asm.h
│   │   │       │       ├── xpseudo_asm_gcc.h
│   │   │       │       ├── xreg_cortexa9.h
│   │   │       │       ├── xstatus.h
│   │   │       │       ├── xtime_l.c
│   │   │       │       ├── xtime_l.h
│   │   │       │       └── xtime_l.o
│   │   │       ├── uartps_v3_7
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── xuartps.c
│   │   │       │       ├── xuartps.h
│   │   │       │       ├── xuartps_g.c
│   │   │       │       ├── xuartps_hw.c
│   │   │       │       ├── xuartps_hw.h
│   │   │       │       ├── xuartps_intr.c
│   │   │       │       ├── xuartps_options.c
│   │   │       │       ├── xuartps_selftest.c
│   │   │       │       └── xuartps_sinit.c
│   │   │       └── xadcps_v2_3
│   │   │           └── src
│   │   │               ├── Makefile
│   │   │               ├── xadcps.c
│   │   │               ├── xadcps.h
│   │   │               ├── xadcps_g.c
│   │   │               ├── xadcps_hw.h
│   │   │               ├── xadcps_intr.c
│   │   │               ├── xadcps_selftest.c
│   │   │               └── xadcps_sinit.c
│   │   └── system.mss
│   ├── system_wrapper.hdf
│   └── system_wrapper_hw_platform_1
│       ├── ps7_init.c
│       ├── ps7_init.h
│       ├── ps7_init.html
│       ├── ps7_init.tcl
│       ├── ps7_init_gpl.c
│       ├── ps7_init_gpl.h
│       └── system.hdf
├── gpio_mio.sim
├── gpio_mio.srcs
│   └── sources_1
│       └── bd
│           └── system
│               ├── hdl
│               │   └── system_wrapper.v
│               ├── hw_handoff
│               │   ├── system.hwh
│               │   └── system_bd.tcl
│               ├── ip
│               │   └── system_processing_system7_0_0
│               │       ├── hdl
│               │       │   └── verilog
│               │       │       ├── processing_system7_v5_5_processing_system7.v
│               │       │       └── system_processing_system7_0_0.hwdef
│               │       ├── ps7_init.c
│               │       ├── ps7_init.h
│               │       ├── ps7_init.html
│               │       ├── ps7_init.tcl
│               │       ├── ps7_init_gpl.c
│               │       ├── ps7_init_gpl.h
│               │       ├── ps7_parameters.xml
│               │       ├── sim
│               │       │   ├── libps7.dll
│               │       │   ├── libps7.so
│               │       │   ├── libremoteport.dll
│               │       │   ├── libremoteport.so
│               │       │   ├── system_processing_system7_0_0.sv
│               │       │   └── system_processing_system7_0_0.v
│               │       ├── synth
│               │       │   └── system_processing_system7_0_0.v
│               │       ├── system_processing_system7_0_0.xci
│               │       ├── system_processing_system7_0_0.xdc
│               │       └── system_processing_system7_0_0.xml
│               ├── ipshared
│               │   ├── 70cf
│               │   │   └── hdl
│               │   │       ├── processing_system7_vip_v1_0_6_apis.v
│               │   │       ├── processing_system7_vip_v1_0_6_axi_acp.v
│               │   │       ├── processing_system7_vip_v1_0_6_axi_gp.v
│               │   │       ├── processing_system7_vip_v1_0_6_axi_hp.v
│               │   │       ├── processing_system7_vip_v1_0_6_local_params.v
│               │   │       ├── processing_system7_vip_v1_0_6_reg_init.v
│               │   │       ├── processing_system7_vip_v1_0_6_reg_params.v
│               │   │       ├── processing_system7_vip_v1_0_6_unused_ports.v
│               │   │       └── processing_system7_vip_v1_0_vl_rfs.sv
│               │   ├── 8fd3
│               │   │   └── hdl
│               │   │       └── verilog
│               │   │           ├── processing_system7_v5_5_atc.v
│               │   │           ├── processing_system7_v5_5_aw_atc.v
│               │   │           ├── processing_system7_v5_5_b_atc.v
│               │   │           ├── processing_system7_v5_5_trace_buffer.v
│               │   │           └── processing_system7_v5_5_w_atc.v
│               │   ├── 98af
│               │   │   └── hdl
│               │   │       └── axi_vip_v1_1_vl_rfs.sv
│               │   └── ec67
│               │       └── hdl
│               │           ├── axi_infrastructure_v1_1_0.vh
│               │           └── axi_infrastructure_v1_1_vl_rfs.v
│               ├── sim
│               │   ├── system.protoinst
│               │   └── system.v
│               ├── synth
│               │   ├── system.hwdef
│               │   └── system.v
│               ├── system.bd
│               ├── system.bxml
│               ├── system_ooc.xdc
│               └── ui
│                   └── bd_c954508f.ui
└── gpio_mio.xpr

98 directories, 473 files


标签: gpio GP IO 操作

实例下载地址

ZYNQ操作GPIO

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警