在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 基于sopc的音乐播放器

基于sopc的音乐播放器

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:2.88M
  • 下载次数:0
  • 浏览次数:62
  • 发布时间:2020-09-03
  • 实例类别:一般编程问题
  • 发 布 人:robot666
  • 文件格式:.rar
  • 所需积分:2
 

实例介绍

【实例简介】
sopc 音乐播放 可以实现音乐的多种播放,以及歌词的显示
【实例截图】
【核心代码】
DE2_SD_Card_Audio
└── DE2_SD_Card_Audio
├── altera.components
├── altpllpll_0.ppf
├── Audio_0.v
├── Audio_DAC_FIFO
│   ├── cb_generator.pl
│   ├── class.ptf
│   └── hdl
│   ├── AUDIO_DAC_FIFO.v
│   └── FIFO_16_256.v
├── AUDIO_DAC_FIFO.v
├── Audio_PLL.ppf
├── Audio_PLL.v
├── bht_ram.mif
├── Binary_VGA_Controller
│   ├── cb_generator.pl
│   ├── class.ptf
│   ├── hdl
│   │   ├── Img_DATA.hex
│   │   ├── Img_RAM.v
│   │   ├── VGA_Controller.v
│   │   ├── VGA_NIOS_CTRL.v
│   │   ├── VGA_OSD_RAM.v
│   │   └── VGA_Param.h
│   └── inc
│   ├── VGA.c
│   └── VGA.h
├── button_pio.v
├── clock_0.v
├── clock_1.v
├── cpu_0_bht_ram.mif
├── cpu_0_dc_tag_ram.mif
├── cpu_0_ic_tag_ram.mif
├── cpu_0_jtag_debug_module.v
├── cpu_0_jtag_debug_module_wrapper.v
├── cpu_0_mult_cell.v
├── cpu_0_ociram_default_contents.mif
├── cpu_0.ocp
├── cpu_0_rf_ram_a.mif
├── cpu_0_rf_ram_b.mif
├── cpu_0_test_bench.v
├── cpu_0.v
├── cpu_0.vo
├── db
│   ├── DE2_SD_Card_Audio.db_info
│   ├── DE2_SD_Card_Audio.eco.cdb
│   └── DE2_SD_Card_Audio.sld_design_entry.sci
├── dc_tag_ram.mif
├── DE2_Board
│   ├── class.ptf
│   └── system
│   ├── asmi.v
│   ├── cmp_state.ini
│   ├── cpu_0.ocp
│   ├── cpu_0_test_bench.v
│   ├── cpu_0.v
│   ├── data_RAM.hex
│   ├── data_RAM.v
│   ├── DE2_Board.asm.rpt
│   ├── DE2_Board_assignment_defaults.qdf
│   ├── DE2_Board.bsf
│   ├── DE2_Board.cdf
│   ├── DE2_Board.done
│   ├── DE2_Board.fit.eqn
│   ├── DE2_Board.fit.rpt
│   ├── DE2_Board.fit.summary
│   ├── DE2_Board.flow.rpt
│   ├── DE2_Board_generation_script
│   ├── DE2_Board_log.txt
│   ├── DE2_Board.map.eqn
│   ├── DE2_Board.map.rpt
│   ├── DE2_Board.map.summary
│   ├── DE2_Board.pin
│   ├── DE2_Board.pof
│   ├── DE2_Board.ptf
│   ├── DE2_Board.ptf.5.00
│   ├── DE2_Board.ptf.bak
│   ├── DE2_Board.qpf
│   ├── DE2_Board.qsf
│   ├── DE2_Board.qws
│   ├── DE2_Board_setup_quartus.tcl
│   ├── DE2_Board_sim
│   │   ├── atail-f.pl
│   │   ├── contents_file_warning.txt
│   │   ├── jtag_uart_0_input_mutex.dat
│   │   ├── jtag_uart_0_input_stream.dat
│   │   └── jtag_uart_0_output_stream.dat
│   ├── DE2_Board.sof
│   ├── DE2_Board.tan.rpt
│   ├── DE2_Board.tan.summary
│   ├── DE2_Board_top.bdf
│   ├── DE2_Board.v
│   ├── delay_reset_block.bdf
│   ├── firmware_ROM.hex
│   ├── firmware_ROM.v
│   ├── jtag_uart_0.v
│   ├── payload_buffer.hex
│   ├── payload_buffer.v
│   ├── reset_counter.v
│   ├── rf_ram.mif
│   ├── sopc_builder_debug_log.txt
│   └── sysid.v
├── DE2_SD_Card_Audio_assignment_defaults.qdf
├── DE2_SD_Card_Audio.pof
├── DE2_SD_Card_Audio.qpf
├── DE2_SD_Card_Audio.qsf
├── DE2_SD_Card_Audio.qws
├── DE2_SD_Card_Audio.sof
├── DE2_SD_Card_Audio.v
├── DM9000A
│   ├── cb_generator.pl
│   ├── class.ptf
│   ├── hdl
│   │   └── DM9000A_IF.v
│   └── inc
│   ├── basic_io.h
│   ├── DM9000A.C
│   └── DM9000A.H
├── DM9000A_IF.v
├── DM9000A.v
├── epcs_controller_boot_rom.hex
├── epcs_controller.v
├── FIFO_16_256.v
├── hello_led_0
│   ├── application.stf
│   ├── basic_io.h
│   ├── Debug
│   │   ├── cfi_flash_0.flash
│   │   ├── generated_app.sh
│   │   ├── hello_led_0.elf
│   │   ├── makefile
│   │   ├── obj
│   │   │   ├── file_list.d
│   │   │   ├── file_list.o
│   │   │   ├── find_cluster.d
│   │   │   ├── find_cluster.o
│   │   │   ├── hello_led.d
│   │   │   ├── hello_led.o
│   │   │   ├── LCD.d
│   │   │   ├── LCD.o
│   │   │   ├── read_lyrics.d
│   │   │   ├── read_lyrics.o
│   │   │   ├── sd_card.d
│   │   │   ├── sd_card.o
│   │   │   ├── show_name.d
│   │   │   ├── show_name.o
│   │   │   ├── time.d
│   │   │   └── time.o
│   │   └── subdir.mk
│   ├── file_list.c
│   ├── find_cluster.c
│   ├── head.h
│   ├── hello_led.c
│   ├── LCD.c
│   ├── read_lyrics.c
│   ├── readme.txt
│   ├── sd_card.c
│   ├── show_name.c
│   └── time.c
├── hello_led_0.rar
├── hello_led_0_syslib
│   ├── Debug
│   │   ├── crt0.d
│   │   ├── crt0.o
│   │   ├── libhello_led_0_syslib.a
│   │   ├── makefile
│   │   ├── obj
│   │   │   ├── alt_alarm_start.d
│   │   │   ├── alt_alarm_start.o
│   │   │   ├── alt_busy_sleep.d
│   │   │   ├── alt_busy_sleep.o
│   │   │   ├── alt_close.d
│   │   │   ├── alt_close.o
│   │   │   ├── alt_dcache_flush_all.d
│   │   │   ├── alt_dcache_flush_all.o
│   │   │   ├── alt_dcache_flush.d
│   │   │   ├── alt_dcache_flush.o
│   │   │   ├── alt_dev.d
│   │   │   ├── alt_dev_llist_insert.d
│   │   │   ├── alt_dev_llist_insert.o
│   │   │   ├── alt_dev.o
│   │   │   ├── alt_dma_rxchan_open.d
│   │   │   ├── alt_dma_rxchan_open.o
│   │   │   ├── alt_dma_txchan_open.d
│   │   │   ├── alt_dma_txchan_open.o
│   │   │   ├── alt_do_ctors.d
│   │   │   ├── alt_do_ctors.o
│   │   │   ├── alt_do_dtors.d
│   │   │   ├── alt_do_dtors.o
│   │   │   ├── alt_environ.d
│   │   │   ├── alt_environ.o
│   │   │   ├── alt_env_lock.d
│   │   │   ├── alt_env_lock.o
│   │   │   ├── altera_avalon_cfi_flash_amd.d
│   │   │   ├── altera_avalon_cfi_flash_amd.o
│   │   │   ├── altera_avalon_cfi_flash.d
│   │   │   ├── altera_avalon_cfi_flash_intel.d
│   │   │   ├── altera_avalon_cfi_flash_intel.o
│   │   │   ├── altera_avalon_cfi_flash.o
│   │   │   ├── altera_avalon_cfi_flash_table.d
│   │   │   ├── altera_avalon_cfi_flash_table.o
│   │   │   ├── altera_avalon_epcs_flash_controller.d
│   │   │   ├── altera_avalon_epcs_flash_controller.o
│   │   │   ├── altera_avalon_jtag_uart_fd.d
│   │   │   ├── altera_avalon_jtag_uart_fd.o
│   │   │   ├── altera_avalon_jtag_uart_init.d
│   │   │   ├── altera_avalon_jtag_uart_init.o
│   │   │   ├── altera_avalon_jtag_uart_ioctl.d
│   │   │   ├── altera_avalon_jtag_uart_ioctl.o
│   │   │   ├── altera_avalon_jtag_uart_read.d
│   │   │   ├── altera_avalon_jtag_uart_read.o
│   │   │   ├── altera_avalon_jtag_uart_write.d
│   │   │   ├── altera_avalon_jtag_uart_write.o
│   │   │   ├── altera_avalon_lcd_16207.d
│   │   │   ├── altera_avalon_lcd_16207_fd.d
│   │   │   ├── altera_avalon_lcd_16207_fd.o
│   │   │   ├── altera_avalon_lcd_16207.o
│   │   │   ├── altera_avalon_spi.d
│   │   │   ├── altera_avalon_spi.o
│   │   │   ├── altera_avalon_timer_sc.d
│   │   │   ├── altera_avalon_timer_sc.o
│   │   │   ├── altera_avalon_timer_ts.d
│   │   │   ├── altera_avalon_timer_ts.o
│   │   │   ├── altera_avalon_timer_vars.d
│   │   │   ├── altera_avalon_timer_vars.o
│   │   │   ├── altera_avalon_uart_fd.d
│   │   │   ├── altera_avalon_uart_fd.o
│   │   │   ├── altera_avalon_uart_init.d
│   │   │   ├── altera_avalon_uart_init.o
│   │   │   ├── altera_avalon_uart_ioctl.d
│   │   │   ├── altera_avalon_uart_ioctl.o
│   │   │   ├── altera_avalon_uart_read.d
│   │   │   ├── altera_avalon_uart_read.o
│   │   │   ├── altera_avalon_uart_write.d
│   │   │   ├── altera_avalon_uart_write.o
│   │   │   ├── alt_errno.d
│   │   │   ├── alt_errno.o
│   │   │   ├── alt_exception_entry.d
│   │   │   ├── alt_exception_entry.o
│   │   │   ├── alt_exception_muldiv.d
│   │   │   ├── alt_exception_muldiv.o
│   │   │   ├── alt_exception_trap.d
│   │   │   ├── alt_exception_trap.o
│   │   │   ├── alt_execve.d
│   │   │   ├── alt_execve.o
│   │   │   ├── alt_exit.d
│   │   │   ├── alt_exit.o
│   │   │   ├── alt_fcntl.d
│   │   │   ├── alt_fcntl.o
│   │   │   ├── alt_fd_lock.d
│   │   │   ├── alt_fd_lock.o
│   │   │   ├── alt_fd_unlock.d
│   │   │   ├── alt_fd_unlock.o
│   │   │   ├── alt_find_dev.d
│   │   │   ├── alt_find_dev.o
│   │   │   ├── alt_find_file.d
│   │   │   ├── alt_find_file.o
│   │   │   ├── alt_flash_dev.d
│   │   │   ├── alt_flash_dev.o
│   │   │   ├── alt_fork.d
│   │   │   ├── alt_fork.o
│   │   │   ├── alt_fs_reg.d
│   │   │   ├── alt_fs_reg.o
│   │   │   ├── alt_fstat.d
│   │   │   ├── alt_fstat.o
│   │   │   ├── alt_getchar.d
│   │   │   ├── alt_getchar.o
│   │   │   ├── alt_get_fd.d
│   │   │   ├── alt_get_fd.o
│   │   │   ├── alt_getpid.d
│   │   │   ├── alt_getpid.o
│   │   │   ├── alt_gettod.d
│   │   │   ├── alt_gettod.o
│   │   │   ├── alt_gmon.d
│   │   │   ├── alt_gmon.o
│   │   │   ├── alt_icache_flush_all.d
│   │   │   ├── alt_icache_flush_all.o
│   │   │   ├── alt_icache_flush.d
│   │   │   ├── alt_icache_flush.o
│   │   │   ├── alt_ioctl.d
│   │   │   ├── alt_ioctl.o
│   │   │   ├── alt_io_redirect.d
│   │   │   ├── alt_io_redirect.o
│   │   │   ├── alt_irq_entry.d
│   │   │   ├── alt_irq_entry.o
│   │   │   ├── alt_irq_handler.d
│   │   │   ├── alt_irq_handler.o
│   │   │   ├── alt_irq_register.d
│   │   │   ├── alt_irq_register.o
│   │   │   ├── alt_irq_vars.d
│   │   │   ├── alt_irq_vars.o
│   │   │   ├── alt_isatty.d
│   │   │   ├── alt_isatty.o
│   │   │   ├── alt_kill.d
│   │   │   ├── alt_kill.o
│   │   │   ├── alt_link.d
│   │   │   ├── alt_link.o
│   │   │   ├── alt_load.d
│   │   │   ├── alt_load.o
│   │   │   ├── alt_log_macro.d
│   │   │   ├── alt_log_macro.o
│   │   │   ├── alt_log_printf.d
│   │   │   ├── alt_log_printf.o
│   │   │   ├── alt_lseek.d
│   │   │   ├── alt_lseek.o
│   │   │   ├── alt_main.d
│   │   │   ├── alt_main.o
│   │   │   ├── alt_malloc_lock.d
│   │   │   ├── alt_malloc_lock.o
│   │   │   ├── alt_mcount.d
│   │   │   ├── alt_mcount.o
│   │   │   ├── alt_open.d
│   │   │   ├── alt_open.o
│   │   │   ├── alt_printf.d
│   │   │   ├── alt_printf.o
│   │   │   ├── alt_putchar.d
│   │   │   ├── alt_putchar.o
│   │   │   ├── alt_putstr.d
│   │   │   ├── alt_putstr.o
│   │   │   ├── alt_read.d
│   │   │   ├── alt_read.o
│   │   │   ├── alt_release_fd.d
│   │   │   ├── alt_release_fd.o
│   │   │   ├── alt_remap_cached.d
│   │   │   ├── alt_remap_cached.o
│   │   │   ├── alt_remap_uncached.d
│   │   │   ├── alt_remap_uncached.o
│   │   │   ├── alt_rename.d
│   │   │   ├── alt_rename.o
│   │   │   ├── alt_sbrk.d
│   │   │   ├── alt_sbrk.o
│   │   │   ├── alt_settod.d
│   │   │   ├── alt_settod.o
│   │   │   ├── alt_software_exception.d
│   │   │   ├── alt_software_exception.o
│   │   │   ├── alt_stat.d
│   │   │   ├── alt_stat.o
│   │   │   ├── alt_sys_init.c-t
│   │   │   ├── alt_sys_init.d
│   │   │   ├── alt_sys_init.o
│   │   │   ├── alt_tick.d
│   │   │   ├── alt_tick.o
│   │   │   ├── alt_times.d
│   │   │   ├── alt_times.o
│   │   │   ├── alt_uncached_free.d
│   │   │   ├── alt_uncached_free.o
│   │   │   ├── alt_uncached_malloc.d
│   │   │   ├── alt_uncached_malloc.o
│   │   │   ├── alt_unlink.d
│   │   │   ├── alt_unlink.o
│   │   │   ├── alt_usleep.d
│   │   │   ├── alt_usleep.o
│   │   │   ├── alt_wait.d
│   │   │   ├── alt_wait.o
│   │   │   ├── alt_write.d
│   │   │   ├── alt_write.o
│   │   │   ├── epcs_commands.d
│   │   │   ├── epcs_commands.o
│   │   │   ├── generated_all.mk-t
│   │   │   ├── generated_app.mk-t
│   │   │   ├── generated.gdb-t
│   │   │   ├── generated.sh-t
│   │   │   ├── generated.x-t
│   │   │   └── system.h-t
│   │   └── system_description
│   │   ├── alt_sys_init.c
│   │   ├── generated_all.mk
│   │   ├── generated_app.mk
│   │   ├── generated.gdb
│   │   ├── generated.sh
│   │   ├── generated.x
│   │   └── system.h
│   ├── readme.txt
│   └── system.stf
├── I2C_AV_Config.v
├── I2C_Controller.v
├── ic_tag_ram.mif
├── Img_DATA.hex
├── Img_RAM.v
├── ISP1362
│   ├── cb_generator.pl
│   ├── class.ptf
│   ├── hdl
│   │   └── ISP1362_IF.v
│   └── inc
│   ├── BASICTYP.H
│   ├── COMMON.H
│   ├── HAL4D13.C
│   ├── HAL4D13.H
│   ├── usb_irq.c
│   └── usb_irq.h
├── ISP1362_IF.v
├── ISP1362.v
├── jtag_uart_0.v
├── lcd_16207_0.v
├── led_green.v
├── led_red.v
├── output_file.map
├── output_file.pof
├── README.txt
├── Reset_Delay.v
├── rf_ram_a.mif
├── rf_ram_b.mif
├── SD_CLK.v
├── SD_CMD.v
├── SD_DAT.v
├── sdram_0_test_component.v
├── sdram_0.v
├── SDRAM_PLL.ppf
├── SDRAM_PLL.v
├── SEG7_Display.v
├── SEG7_LUT_8
│   ├── cb_generator.pl
│   ├── class.ptf
│   ├── hdl
│   │   ├── SEG7_LUT_8.v
│   │   └── SEG7_LUT.v
│   └── inc
│   └── basic_io.h
├── SEG7_LUT_8.v
├── SEG7_LUT.v
├── sopc_builder_log.txt
├── sram_0.v
├── SRAM_16Bit_512K
│   ├── cb_generator.pl
│   ├── class.ptf
│   └── hdl
│   └── SRAM_16Bit_512K.v
├── SRAM_16Bit_512K.v
├── switch_pio.v
├── system_0.bsf
├── system_0_generation_script
├── system_0.ptf
├── system_0_setup_quartus.tcl
├── system_0_sim
│   └── dummy_file
├── system_0.v
├── timer_0.v
├── timer_1.v
├── uart_0.v
├── VGA_0.v
├── VGA_Controller.v
├── VGA_NIOS_CTRL.v
├── VGA_OSD_RAM.v
└── VGA_Param.h

30 directories, 415 files

标签:

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警