在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 基于FPGA的fir滤波器程序verilog代码

基于FPGA的fir滤波器程序verilog代码

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:2.56M
  • 下载次数:12
  • 浏览次数:173
  • 发布时间:2020-07-10
  • 实例类别:一般编程问题
  • 发 布 人:robot666
  • 文件格式:.rar
  • 所需积分:2
 

实例介绍

【实例简介】
基于FPGA的fir滤波器程序,verilog代码
【实例截图】
【核心代码】
fir_match(modelsim)
└── fir_match(modelsim)
├── altera_mf.v
├── fir_ex.cr.mti
├── fir_ex.mpf
├── fir_ex.v
├── fir_ex_com.v
├── fir_ex_com2.v
├── fir_in.salt
├── fir_out.txt
├── tb_filter_ex1.v
├── transcript
├── vsim.wlf
└── work
├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── @m@f_cycloneiii_pll
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── @m@f_pll_reg
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── @m@f_ram7x20_syn
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── @m@f_stratix_pll
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── @m@f_stratixii_pll
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── @m@f_stratixiii_pll
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── _info
├── a_graycounter
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── alt3pram
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altaccumulate
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altcam
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altcdr_rx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altcdr_tx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altclklock
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altddio_bidir
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altddio_in
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altddio_out
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altdpram
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altfp_mult
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altlvds_rx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altlvds_tx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altmult_accum
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altmult_add
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altparallel_flash_loader
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altpll
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altqpram
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altserial_flash_loader
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altshift_taps
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altsqrt
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altsquare
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altstratixii_oct
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── altsyncram
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── arm_m_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── arm_n_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── arm_scale_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── cda_m_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── cda_n_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── cda_scale_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── dcfifo
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── dcfifo_async
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── dcfifo_dffpipe
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── dcfifo_fefifo
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── dcfifo_low_latency
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── dcfifo_mixed_widths
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── dcfifo_sync
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── dffp
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── dummy_hub
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── fir_ex
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── fir_ex_com
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── fir_ex_com2
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── flexible_lvds_rx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── flexible_lvds_tx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── hssi_fifo
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── hssi_pll
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── hssi_rx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── hssi_tx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── jtag_tap_controller
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── lcell
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── parallel_add
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── pll_iobuf
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── scfifo
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── signal_gen
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── sld_signaltap
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── sld_virtual_jtag
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stratix_lvds_rx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stratix_tx_outclk
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stratixgx_dpa_lvds_rx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stratixii_lvds_rx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stratixii_tx_outclk
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stratixiii_lvds_rx
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stratixiii_lvds_rx_channel
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stratixiii_lvds_rx_dpa
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stx_m_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stx_n_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── stx_scale_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── tb_filter_ex1
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── ttn_m_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
├── ttn_n_cntr
│   ├── _primary.dat
│   ├── _primary.vhd
│   └── verilog.asm
└── ttn_scale_cntr
├── _primary.dat
├── _primary.vhd
└── verilog.asm

83 directories, 255 files

标签:

实例下载地址

基于FPGA的fir滤波器程序verilog代码

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警