在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例Clojure → verilog lvds

verilog lvds

Clojure

下载此实例
  • 开发语言:Others
  • 实例大小:2.58M
  • 下载次数:1
  • 浏览次数:8
  • 发布时间:2024-04-23
  • 实例类别:Clojure
  • 发 布 人:赵东来
  • 文件格式:.zip
  • 所需积分:2
 相关标签: lvds

实例介绍

【实例简介】ISERDESE2
【实例截图】

【核心代码】

ISERDESE2

├── ISERDESE2.cache
│   ├── compile_simlib
│   │   ├── activehdl
│   │   ├── ies
│   │   ├── questa
│   │   ├── riviera
│   │   ├── vcs
│   │   └── xcelium
│   └── wt
│       ├── gui_handlers.wdf
│       ├── java_command_handlers.wdf
│       ├── project.wpc
│       ├── synthesis.wdf
│       ├── synthesis_details.wdf
│       ├── webtalk_pa.xml
│       └── xsim.wdf
├── ISERDESE2.hw
│   └── ISERDESE2.lpr
├── ISERDESE2.ip_user_files
│   ├── README.txt
│   ├── ip
│   │   └── clk_wiz_0
│   │       ├── clk_wiz_0.veo
│   │       ├── clk_wiz_0_stub.v
│   │       └── clk_wiz_0_stub.vhdl
│   └── sim_scripts
│       └── clk_wiz_0
│           ├── README.txt
│           ├── activehdl
│           │   ├── README.txt
│           │   ├── clk_wiz_0.sh
│           │   ├── clk_wiz_0.udo
│           │   ├── compile.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── simulate.do
│           │   └── wave.do
│           ├── ies
│           │   ├── README.txt
│           │   ├── clk_wiz_0.sh
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   └── run.f
│           ├── modelsim
│           │   ├── README.txt
│           │   ├── clk_wiz_0.sh
│           │   ├── clk_wiz_0.udo
│           │   ├── compile.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── modelsim.ini
│           │   ├── simulate.do
│           │   └── wave.do
│           ├── questa
│           │   ├── README.txt
│           │   ├── clk_wiz_0.sh
│           │   ├── clk_wiz_0.udo
│           │   ├── compile.do
│           │   ├── elaborate.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── simulate.do
│           │   └── wave.do
│           ├── riviera
│           │   ├── README.txt
│           │   ├── clk_wiz_0.sh
│           │   ├── clk_wiz_0.udo
│           │   ├── compile.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── simulate.do
│           │   └── wave.do
│           ├── vcs
│           │   ├── README.txt
│           │   ├── clk_wiz_0.sh
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   └── simulate.do
│           ├── xcelium
│           │   ├── README.txt
│           │   ├── clk_wiz_0.sh
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   └── run.f
│           └── xsim
│               ├── README.txt
│               ├── clk_wiz_0.sh
│               ├── cmd.tcl
│               ├── elab.opt
│               ├── file_info.txt
│               ├── glbl.v
│               ├── vlog.prj
│               └── xsim.ini
├── ISERDESE2.runs
│   ├── clk_wiz_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── clk_wiz_0.dcp
│   │   ├── clk_wiz_0.tcl
│   │   ├── clk_wiz_0.vds
│   │   ├── clk_wiz_0_utilization_synth.pb
│   │   ├── clk_wiz_0_utilization_synth.rpt
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   └── synth_1
│       ├── ISERDESE2_TEST.dcp
│       ├── ISERDESE2_TEST.tcl
│       ├── ISERDESE2_TEST.vds
│       ├── ISERDESE2_TEST_utilization_synth.pb
│       ├── ISERDESE2_TEST_utilization_synth.rpt
│       ├── ISEWrap.js
│       ├── ISEWrap.sh
│       ├── __synthesis_is_complete__
│       ├── gen_run.xml
│       ├── htr.txt
│       ├── project.wdf
│       ├── rundef.js
│       ├── runme.bat
│       ├── runme.log
│       ├── runme.sh
│       ├── vivado.jou
│       └── vivado.pb
├── ISERDESE2.sim
│   └── sim_1
│       └── behav
│           ├── modelsim
│           │   ├── ISERDESE2_TEST_tb.udo
│           │   ├── ISERDESE2_TEST_tb_compile.do
│           │   ├── ISERDESE2_TEST_tb_simulate.do
│           │   ├── ISERDESE2_TEST_tb_wave.do
│           │   ├── compile.bat
│           │   ├── compile.log
│           │   ├── glbl.v
│           │   ├── idelay_test.udo
│           │   ├── idelay_test_compile.do
│           │   ├── idelay_test_simulate.do
│           │   ├── idelay_test_tb.udo
│           │   ├── idelay_test_tb_compile.do
│           │   ├── idelay_test_tb_simulate.do
│           │   ├── idelay_test_tb_wave.do
│           │   ├── idelay_test_wave.do
│           │   ├── modelsim.ini
│           │   ├── modelsim_lib
│           │   │   ├── msim
│           │   │   │   ├── _info
│           │   │   │   └── xil_defaultlib
│           │   │   │       ├── @_opt
│           │   │   │       │   ├── _lib.qdb
│           │   │   │       │   ├── _lib1_0.qdb
│           │   │   │       │   ├── _lib1_0.qpg
│           │   │   │       │   ├── _lib1_0.qtl
│           │   │   │       │   ├── _lib2_0.qdb
│           │   │   │       │   ├── _lib2_0.qpg
│           │   │   │       │   ├── _lib2_0.qtl
│           │   │   │       │   ├── _lib3_0.qdb
│           │   │   │       │   ├── _lib3_0.qpg
│           │   │   │       │   ├── _lib3_0.qtl
│           │   │   │       │   ├── _lib4_0.qdb
│           │   │   │       │   ├── _lib4_0.qpg
│           │   │   │       │   ├── _lib4_0.qtl
│           │   │   │       │   ├── _lib5_0.qdb
│           │   │   │       │   ├── _lib5_0.qpg
│           │   │   │       │   ├── _lib5_0.qtl
│           │   │   │       │   ├── _lib6_0.qdb
│           │   │   │       │   ├── _lib6_0.qpg
│           │   │   │       │   ├── _lib6_0.qtl
│           │   │   │       │   ├── _lib7_0.qdb
│           │   │   │       │   ├── _lib7_0.qpg
│           │   │   │       │   └── _lib7_0.qtl
│           │   │   │       ├── @_opt1
│           │   │   │       │   ├── _lib.qdb
│           │   │   │       │   ├── _lib1_0.qdb
│           │   │   │       │   ├── _lib1_0.qpg
│           │   │   │       │   ├── _lib1_0.qtl
│           │   │   │       │   ├── _lib2_0.qdb
│           │   │   │       │   ├── _lib2_0.qpg
│           │   │   │       │   ├── _lib2_0.qtl
│           │   │   │       │   ├── _lib3_0.qdb
│           │   │   │       │   ├── _lib3_0.qpg
│           │   │   │       │   ├── _lib3_0.qtl
│           │   │   │       │   ├── _lib4_0.qdb
│           │   │   │       │   ├── _lib4_0.qpg
│           │   │   │       │   ├── _lib4_0.qtl
│           │   │   │       │   ├── _lib5_0.qdb
│           │   │   │       │   ├── _lib5_0.qpg
│           │   │   │       │   └── _lib5_0.qtl
│           │   │   │       ├── _info
│           │   │   │       ├── _lib.qdb
│           │   │   │       ├── _lib1_2.qdb
│           │   │   │       ├── _lib1_2.qpg
│           │   │   │       ├── _lib1_2.qtl
│           │   │   │       ├── _opt1__lock
│           │   │   │       ├── _opt__lock
│           │   │   │       ├── _temp
│           │   │   │       ├── _tempmsg
│           │   │   │       └── _vmake
│           │   │   └── work
│           │   │       └── _info
│           │   ├── simulate.bat
│           │   ├── simulate.log
│           │   ├── vsim.wlf
│           │   ├── wlftg0xrff
│           │   └── wlftmtn4ga
│           └── xsim
│               ├── compile.bat
│               ├── compile.log
│               ├── elaborate.bat
│               ├── elaborate.log
│               ├── glbl.v
│               ├── idelay_test_tb.tcl
│               ├── idelay_test_tb_behav.wdb
│               ├── idelay_test_tb_vlog.prj
│               ├── simulate.bat
│               ├── simulate.log
│               ├── webtalk.jou
│               ├── webtalk.log
│               ├── webtalk_16472.backup.jou
│               ├── webtalk_16472.backup.log
│               ├── xelab.pb
│               ├── xsim.ini
│               ├── xsim.ini.bak
│               ├── xvlog.log
│               └── xvlog.pb
├── ISERDESE2.srcs
│   ├── sim_1
│   │   └── new
│   │       └── ISERDESE2_TEST_tb.v
│   └── sources_1
│       ├── ip
│       │   └── clk_wiz_0
│       │       ├── clk_wiz_0.dcp
│       │       ├── clk_wiz_0.v
│       │       ├── clk_wiz_0.veo
│       │       ├── clk_wiz_0.xci
│       │       ├── clk_wiz_0.xdc
│       │       ├── clk_wiz_0.xml
│       │       ├── clk_wiz_0_board.xdc
│       │       ├── clk_wiz_0_clk_wiz.v
│       │       ├── clk_wiz_0_ooc.xdc
│       │       ├── clk_wiz_0_sim_netlist.v
│       │       ├── clk_wiz_0_sim_netlist.vhdl
│       │       ├── clk_wiz_0_stub.v
│       │       ├── clk_wiz_0_stub.vhdl
│       │       ├── doc
│       │       │   └── clk_wiz_v6_0_changelog.txt
│       │       ├── mmcm_pll_drp_func_7s_mmcm.vh
│       │       ├── mmcm_pll_drp_func_7s_pll.vh
│       │       ├── mmcm_pll_drp_func_us_mmcm.vh
│       │       ├── mmcm_pll_drp_func_us_pll.vh
│       │       ├── mmcm_pll_drp_func_us_plus_mmcm.vh
│       │       └── mmcm_pll_drp_func_us_plus_pll.vh
│       └── new
│           └── ISERDESE2_TEST.v
└── ISERDESE2.xpr

47 directories, 216 files


标签: lvds

实例下载地址

verilog lvds

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警