在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 4.3 寸 LCD 显示例程

4.3 寸 LCD 显示例程

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:0.69M
  • 下载次数:4
  • 浏览次数:24
  • 发布时间:2023-05-22
  • 实例类别:一般编程问题
  • 发 布 人:Obey
  • 文件格式:.rar
  • 所需积分:2
 相关标签: LCD 4.3 例程 示例 显示

实例介绍

【实例简介】4.3 寸 LCD 显示例程
LCD的数据输入接口模式有SYNC模式和DE模式。在SYNC模式,LCD的数据时序由行同步
信号HSYNC和列同步信号VSYNC控制; 在DE模式, LCD的数据时序由DE信号来控制

【实例截图】

from clipboard

【核心代码】

.
├── 21_lcd_test
│   ├── LCD_Control.bld
│   ├── LCD_Control.cmd_log
│   ├── LCD_Control.lso
│   ├── LCD_Control.ncd
│   ├── LCD_Control.ngc
│   ├── LCD_Control.ngd
│   ├── LCD_Control.ngr
│   ├── LCD_Control.par
│   ├── LCD_Control.pcf
│   ├── LCD_Control.prj
│   ├── LCD_Control.stx
│   ├── LCD_Control.syr
│   ├── LCD_Control.twr
│   ├── LCD_Control.twx
│   ├── LCD_Control.unroutes
│   ├── LCD_Control.ut
│   ├── LCD_Control.xst
│   ├── LCD_Control_bitgen.xwbt
│   ├── LCD_Control_envsettings.html
│   ├── LCD_Control_guide.ncd
│   ├── LCD_Control_map.map
│   ├── LCD_Control_map.mrp
│   ├── LCD_Control_map.ncd
│   ├── LCD_Control_map.ngm
│   ├── LCD_Control_pad.csv
│   ├── LCD_Control_pad.txt
│   ├── LCD_Control_summary.html
│   ├── LCD_Control_xst.xrpt
│   ├── _ngo
│   │   └── netlist.lst
│   ├── _xmsgs
│   │   ├── bitgen.xmsgs
│   │   ├── map.xmsgs
│   │   ├── ngdbuild.xmsgs
│   │   ├── par.xmsgs
│   │   ├── pn_parser.xmsgs
│   │   ├── trce.xmsgs
│   │   └── xst.xmsgs
│   ├── ipcore_dir
│   │   ├── _xmsgs
│   │   │   ├── cg.xmsgs
│   │   │   └── pn_parser.xmsgs
│   │   ├── coregen.cgp
│   │   ├── coregen.log
│   │   ├── create_pll.tcl
│   │   ├── edit_pll.tcl
│   │   ├── pll
│   │   │   ├── clk_wiz_v3_6_readme.txt
│   │   │   ├── doc
│   │   │   │   ├── clk_wiz_v3_6_readme.txt
│   │   │   │   ├── clk_wiz_v3_6_vinfo.html
│   │   │   │   └── pg065_clk_wiz.pdf
│   │   │   ├── example_design
│   │   │   │   ├── pll_exdes.ucf
│   │   │   │   ├── pll_exdes.v
│   │   │   │   └── pll_exdes.xdc
│   │   │   ├── implement
│   │   │   │   ├── implement.bat
│   │   │   │   ├── implement.sh
│   │   │   │   ├── planAhead_ise.bat
│   │   │   │   ├── planAhead_ise.sh
│   │   │   │   ├── planAhead_ise.tcl
│   │   │   │   ├── planAhead_rdn.bat
│   │   │   │   ├── planAhead_rdn.sh
│   │   │   │   ├── planAhead_rdn.tcl
│   │   │   │   ├── xst.prj
│   │   │   │   └── xst.scr
│   │   │   └── simulation
│   │   │       ├── functional
│   │   │       │   ├── simcmds.tcl
│   │   │       │   ├── simulate_isim.bat
│   │   │       │   ├── simulate_isim.sh
│   │   │       │   ├── simulate_mti.bat
│   │   │       │   ├── simulate_mti.do
│   │   │       │   ├── simulate_mti.sh
│   │   │       │   ├── simulate_ncsim.sh
│   │   │       │   ├── simulate_vcs.sh
│   │   │       │   ├── ucli_commands.key
│   │   │       │   ├── vcs_session.tcl
│   │   │       │   ├── wave.do
│   │   │       │   └── wave.sv
│   │   │       ├── pll_tb.v
│   │   │       └── timing
│   │   │           ├── pll_tb.v
│   │   │           ├── sdf_cmd_file
│   │   │           ├── simcmds.tcl
│   │   │           ├── simulate_isim.sh
│   │   │           ├── simulate_mti.bat
│   │   │           ├── simulate_mti.do
│   │   │           ├── simulate_mti.sh
│   │   │           ├── simulate_ncsim.sh
│   │   │           ├── simulate_vcs.sh
│   │   │           ├── ucli_commands.key
│   │   │           ├── vcs_session.tcl
│   │   │           └── wave.do
│   │   ├── pll.asy
│   │   ├── pll.gise
│   │   ├── pll.ncf
│   │   ├── pll.sym
│   │   ├── pll.ucf
│   │   ├── pll.v
│   │   ├── pll.veo
│   │   ├── pll.xco
│   │   ├── pll.xdc
│   │   ├── pll.xise
│   │   ├── pll_flist.txt
│   │   ├── pll_xmdf.tcl
│   │   └── tmp
│   │       ├── _cg
│   │       │   └── _dbg
│   │       │       ├── xil_795.in
│   │       │       └── xil_795.out
│   │       ├── _xmsgs
│   │       │   └── pn_parser.xmsgs
│   │       └── customization_gui.0.957429454642.out
│   ├── iseconfig
│   │   ├── LCD_Control.xreport
│   │   ├── lcd_test.projectmgr
│   │   └── lcd_test.xreport
│   ├── lcd_control.bgn
│   ├── lcd_control.drc
│   ├── lcd_test
│   ├── lcd_test.bgn
│   ├── lcd_test.bit
│   ├── lcd_test.bld
│   ├── lcd_test.cmd_log
│   ├── lcd_test.drc
│   ├── lcd_test.gise
│   ├── lcd_test.lso
│   ├── lcd_test.ncd
│   ├── lcd_test.ngc
│   ├── lcd_test.ngd
│   ├── lcd_test.ngr
│   ├── lcd_test.pad
│   ├── lcd_test.par
│   ├── lcd_test.pcf
│   ├── lcd_test.prj
│   ├── lcd_test.ptwx
│   ├── lcd_test.stx
│   ├── lcd_test.syr
│   ├── lcd_test.twr
│   ├── lcd_test.twx
│   ├── lcd_test.ucf
│   ├── lcd_test.unroutes
│   ├── lcd_test.ut
│   ├── lcd_test.v
│   ├── lcd_test.xise
│   ├── lcd_test.xpi
│   ├── lcd_test.xst
│   ├── lcd_test_bitgen.xwbt
│   ├── lcd_test_envsettings.html
│   ├── lcd_test_guide.ncd
│   ├── lcd_test_map.map
│   ├── lcd_test_map.mrp
│   ├── lcd_test_map.ncd
│   ├── lcd_test_map.ngm
│   ├── lcd_test_map.xrpt
│   ├── lcd_test_ngdbuild.xrpt
│   ├── lcd_test_pad.csv
│   ├── lcd_test_pad.txt
│   ├── lcd_test_par.xrpt
│   ├── lcd_test_summary.html
│   ├── lcd_test_summary.xml
│   ├── lcd_test_usage.xml
│   ├── lcd_test_xst.xrpt
│   ├── par_usage_statistics.html
│   ├── usage_statistics_webtalk.html
│   ├── webtalk.log
│   ├── webtalk_pn.xml
│   ├── xlnx_auto_0_xdb
│   │   └── cst.xbcd
│   └── xst
│       ├── dump.xst
│       │   ├── LCD_Control.prj
│       │   └── lcd_test.prj
│       ├── projnav.tmp
│       └── work
│           ├── work.sdbl
│           └── work.sdbx
└── 4.3 寸 LCD 显示例程_21_lcd_test.rar

24 directories, 157 files



标签: LCD 4.3 例程 示例 显示

实例下载地址

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警