在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例Clojure → I2C程序实例

I2C程序实例

Clojure

下载此实例
  • 开发语言:Others
  • 实例大小:4.97M
  • 下载次数:4
  • 浏览次数:50
  • 发布时间:2022-03-14
  • 实例类别:Clojure
  • 发 布 人:fei417
  • 文件格式:.zip
  • 所需积分:1
 相关标签: 程序实例 i2c i2 程序 实例

实例介绍

【实例简介】I2C程序实例

【实例截图】

from clipboard

【核心代码】

.
├── TMSPlane-3e30749440b1a8a0fd81a848b368cfbce10dfb86
│   ├── Firmware
│   │   ├── Doxyfile
│   │   ├── Doxylatexsheet.tex
│   │   ├── README.md
│   │   ├── config
│   │   │   ├── Si5324_125MHz_regmap.txt
│   │   │   ├── Si5324_156.25MHz_regmap.txt
│   │   │   ├── project_TMS1mmX19_KC705.tcl
│   │   │   ├── project_TMS1mmX19_TE07412C1.tcl
│   │   │   └── write_bitstream_pre.tcl
│   │   ├── ipcore_dir
│   │   │   ├── KC705
│   │   │   │   ├── aurora_64b66b_0
│   │   │   │   │   └── aurora_64b66b_0.xci
│   │   │   │   ├── clockwiz
│   │   │   │   │   └── clockwiz.xci
│   │   │   │   ├── fifo128to256
│   │   │   │   │   └── fifo128to256.xci
│   │   │   │   ├── fifo128to32
│   │   │   │   │   └── fifo128to32.xci
│   │   │   │   ├── fifo128x
│   │   │   │   │   └── fifo128x.xci
│   │   │   │   ├── fifo16to64
│   │   │   │   │   └── fifo16to64.xci
│   │   │   │   ├── fifo256to512
│   │   │   │   │   └── fifo256to512.xci
│   │   │   │   ├── fifo32to8
│   │   │   │   │   └── fifo32to8.xci
│   │   │   │   ├── fifo36x512
│   │   │   │   │   └── fifo36x512.xci
│   │   │   │   ├── fifo512to128
│   │   │   │   │   └── fifo512to128.xci
│   │   │   │   ├── fifo512x
│   │   │   │   │   └── fifo512x.xci
│   │   │   │   ├── fifo64to256
│   │   │   │   │   └── fifo64to256.xci
│   │   │   │   ├── fifo8to32
│   │   │   │   │   └── fifo8to32.xci
│   │   │   │   ├── mig_7series_0
│   │   │   │   │   ├── mig_7series_0.xci
│   │   │   │   │   └── mig_a.prj
│   │   │   │   ├── sdram_buffer_bram
│   │   │   │   │   └── sdram_buffer_bram.xci
│   │   │   │   ├── ten_gig_eth_mac_0
│   │   │   │   │   └── ten_gig_eth_mac_0.xci
│   │   │   │   ├── ten_gig_eth_packet_ram
│   │   │   │   │   └── ten_gig_eth_packet_ram.xci
│   │   │   │   ├── ten_gig_eth_pcs_pma_0
│   │   │   │   │   └── ten_gig_eth_pcs_pma_0.xci
│   │   │   │   └── tri_mode_ethernet_mac_0
│   │   │   │       └── tri_mode_ethernet_mac_0.xci
│   │   │   └── TE07412C1
│   │   │       ├── aurora_64b66b_0
│   │   │       │   └── aurora_64b66b_0.xci
│   │   │       ├── clockwiz
│   │   │       │   └── clockwiz.xci
│   │   │       ├── fifo128to256
│   │   │       │   └── fifo128to256.xci
│   │   │       ├── fifo128x
│   │   │       │   └── fifo128x.xci
│   │   │       ├── fifo16to32
│   │   │       │   └── fifo16to32.xci
│   │   │       ├── fifo16to64
│   │   │       │   └── fifo16to64.xci
│   │   │       ├── fifo36x512
│   │   │       │   └── fifo36x512.xci
│   │   │       ├── fifo64to256
│   │   │       │   └── fifo64to256.xci
│   │   │       ├── fifo64x
│   │   │       │   └── fifo64x.xci
│   │   │       ├── ten_gig_eth_mac_0
│   │   │       │   └── ten_gig_eth_mac_0.xci
│   │   │       ├── ten_gig_eth_packet_ram
│   │   │       │   └── ten_gig_eth_packet_ram.xci
│   │   │       └── ten_gig_eth_pcs_pma_0
│   │   │           └── ten_gig_eth_pcs_pma_0.xci
│   │   ├── src
│   │   │   ├── adc_cnv_sipo.v
│   │   │   ├── aurora64b66b
│   │   │   │   ├── KC705
│   │   │   │   │   ├── aurora_64b66b.v
│   │   │   │   │   ├── aurora_64b66b_0_clock_module.v
│   │   │   │   │   ├── aurora_64b66b_0_gt_common_wrapper.v
│   │   │   │   │   ├── aurora_64b66b_0_support.v
│   │   │   │   │   └── aurora_64b66b_0_support_reset_logic.v
│   │   │   │   ├── TE07412C1
│   │   │   │   │   ├── aurora_64b66b.v
│   │   │   │   │   ├── aurora_64b66b_0_clock_module.v
│   │   │   │   │   ├── aurora_64b66b_0_gt_common_wrapper.v
│   │   │   │   │   ├── aurora_64b66b_0_support.v
│   │   │   │   │   └── aurora_64b66b_0_support_reset_logic.v
│   │   │   │   └── fifo_over_ufc.v
│   │   │   ├── byte2cmd.vhd
│   │   │   ├── channel_avg.vhd
│   │   │   ├── channel_sel.vhd
│   │   │   ├── clk_div.vhd
│   │   │   ├── clk_fwd.vhd
│   │   │   ├── control_interface.vhd
│   │   │   ├── data_sampler_fifo.v
│   │   │   ├── diffiodelay.v
│   │   │   ├── edge_sync.vhd
│   │   │   ├── fifo2shiftreg.vhd
│   │   │   ├── fifo_rdwidth_reducer.vhd
│   │   │   ├── gig_eth
│   │   │   │   ├── KC705
│   │   │   │   │   ├── axi_lite_sm
│   │   │   │   │   │   └── tri_mode_ethernet_mac_0_axi_lite_sm.vhd
│   │   │   │   │   ├── com5402local.vhd
│   │   │   │   │   ├── com5402localpkg.vhd
│   │   │   │   │   ├── common
│   │   │   │   │   │   ├── tri_mode_ethernet_mac_0_reset_sync.vhd
│   │   │   │   │   │   └── tri_mode_ethernet_mac_0_sync_block.vhd
│   │   │   │   │   ├── fifo
│   │   │   │   │   │   ├── tri_mode_ethernet_mac_0_bram_tdp.vhd
│   │   │   │   │   │   ├── tri_mode_ethernet_mac_0_rx_client_fifo.vhd
│   │   │   │   │   │   ├── tri_mode_ethernet_mac_0_ten_100_1g_eth_fifo.vhd
│   │   │   │   │   │   └── tri_mode_ethernet_mac_0_tx_client_fifo.vhd
│   │   │   │   │   ├── gig_eth.vhd
│   │   │   │   │   ├── gig_eth_mac_fifo_block.vhd
│   │   │   │   │   ├── gig_eth_mac_resets.vhd
│   │   │   │   │   └── support
│   │   │   │   │       ├── tri_mode_ethernet_mac_0_support.vhd
│   │   │   │   │       ├── tri_mode_ethernet_mac_0_support_clocking.vhd
│   │   │   │   │       └── tri_mode_ethernet_mac_0_support_resets.vhd
│   │   │   │   └── tcp_server
│   │   │   ├── global_clock_reset.vhd
│   │   │   ├── global_resetter.vhd
│   │   │   ├── i2c
│   │   │   │   ├── i2c_master.vhd
│   │   │   │   ├── i2c_master_core.vhd
│   │   │   │   └── i2c_write_regmap.vhd
│   │   │   ├── pulse2pulse.vhd
│   │   │   ├── sdm_adc_data_aggregator.v
│   │   │   ├── sdm_adc_data_aurora_recv.v
│   │   │   ├── sdram
│   │   │   │   ├── KC705
│   │   │   │   │   └── sdram_ddr3.vhd
│   │   │   │   ├── sdram_buffer.vhd
│   │   │   │   └── sdram_buffer_fifo.vhd
│   │   │   ├── shiftreg_drive.vhd
│   │   │   ├── ten_gig_eth
│   │   │   │   ├── KC705
│   │   │   │   │   ├── fifo
│   │   │   │   │   │   ├── ten_gig_eth_mac_0_axi_fifo.vhd
│   │   │   │   │   │   ├── ten_gig_eth_mac_0_fifo_ram.vhd
│   │   │   │   │   │   ├── ten_gig_eth_mac_0_xgmac_fifo.vhd
│   │   │   │   │   │   └── xgmac_fifo_pack.vhd
│   │   │   │   │   ├── pcs_pma
│   │   │   │   │   │   ├── ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2.vhd
│   │   │   │   │   │   ├── ten_gig_eth_pcs_pma_0_gt_common.vhd
│   │   │   │   │   │   ├── ten_gig_eth_pcs_pma_0_shared_clock_and_reset.vhd
│   │   │   │   │   │   ├── ten_gig_eth_pcs_pma_0_support.vhd
│   │   │   │   │   │   └── ten_gig_eth_pcs_pma_wrapper.vhd
│   │   │   │   │   └── ten_gig_eth.vhd
│   │   │   │   ├── TE07412C1
│   │   │   │   │   ├── fifo
│   │   │   │   │   │   ├── ten_gig_eth_mac_0_axi_fifo.vhd
│   │   │   │   │   │   ├── ten_gig_eth_mac_0_fifo_ram.vhd
│   │   │   │   │   │   ├── ten_gig_eth_mac_0_xgmac_fifo.vhd
│   │   │   │   │   │   └── xgmac_fifo_pack.vhd
│   │   │   │   │   ├── pcs_pma
│   │   │   │   │   │   ├── ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2.vhd
│   │   │   │   │   │   ├── ten_gig_eth_pcs_pma_0_gt_common.vhd
│   │   │   │   │   │   ├── ten_gig_eth_pcs_pma_0_shared_clock_and_reset.vhd
│   │   │   │   │   │   ├── ten_gig_eth_pcs_pma_0_support.vhd
│   │   │   │   │   │   └── ten_gig_eth_pcs_pma_wrapper.vhd
│   │   │   │   │   └── ten_gig_eth.vhd
│   │   │   │   ├── ten_gig_eth_packet_gen.vhd
│   │   │   │   ├── ten_gig_eth_packet_gen_simple.vhd
│   │   │   │   └── ten_gig_eth_rx_parser.vhd
│   │   │   ├── tickgen.vhd
│   │   │   ├── tms_sdm_recv.v
│   │   │   ├── top_TMS1mmX19_KC705.vhd
│   │   │   ├── top_TMS1mmX19_KC705.xdc
│   │   │   ├── top_TMS1mmX19_TE07412C1.vhd
│   │   │   ├── top_TMS1mmX19_TE07412C1.xdc
│   │   │   ├── uartio.vhd
│   │   │   ├── utility_pkg.vhd
│   │   │   └── width_pulse_sync.vhd
│   │   └── test_bench
│   │       ├── channel_avg_tb.vhd
│   │       ├── data_sampler_fifo_tb.v
│   │       ├── fifo_over_ufc_tb.v
│   │       ├── i2c
│   │       │   ├── i2c_master_core_tb.vhd
│   │       │   ├── i2c_master_tb.vhd
│   │       │   └── i2c_write_regmap_tb.vhd
│   │       ├── pulse2pulse_tb.vhd
│   │       ├── sdm_adc_data_aggregator_tb.v
│   │       ├── sdm_adc_data_aurora_recv_tb.v
│   │       ├── sdram_buffer_fifo_tb.vhd
│   │       └── shiftreg_drive_tb.vhd
│   ├── Hardware
│   │   └── PCB
│   │       ├── Doxyfile
│   │       ├── Doxylatexsheet.tex
│   │       ├── KiCadScript
│   │       │   ├── GenPcb.py
│   │       │   ├── GenPcb2ExDAC.py
│   │       │   ├── GenPcbAout1or2.py
│   │       │   ├── GenSch.py
│   │       │   ├── GenSch2ExDAC.py
│   │       │   ├── GenSchAout1or2.py
│   │       │   ├── KiAuto
│   │       │   │   ├── HexLib
│   │       │   │   │   ├── __init__.py
│   │       │   │   │   ├── hexlib.c
│   │       │   │   │   ├── hexlib.h
│   │       │   │   │   ├── hexlib.py
│   │       │   │   │   └── setup.py
│   │       │   │   ├── KiPcb.py
│   │       │   │   ├── KiPcbFp.py
│   │       │   │   ├── KiSch.py
│   │       │   │   ├── __init__.py
│   │       │   │   └── util.py
│   │       │   └── KiPcbTest.py
│   │       ├── PCBLib
│   │       │   ├── PADSLib
│   │       │   │   ├── topmetal.ld9
│   │       │   │   ├── topmetal.ln9
│   │       │   │   ├── topmetal.pd9
│   │       │   │   └── topmetal.pt9
│   │       │   ├── TMPcb.pretty
│   │       │   │   ├── FSI-150-XX-X-D-X-AD-recv-Bot.kicad_mod
│   │       │   │   ├── FSI-150-XX-X-D-X-AD-solder.kicad_mod
│   │       │   │   ├── FSI-150-XX-X-S-X-AD-recv-Bot.kicad_mod
│   │       │   │   ├── FSI-150-XX-X-S-X-AD-solder.kicad_mod
│   │       │   │   ├── TMS1mm.kicad_mod
│   │       │   │   ├── TMS1mm2ExDAC.kicad_mod
│   │       │   │   ├── TMS1mmAout1or2.kicad_mod
│   │       │   │   └── TSSOP-64_6.1x17mm_Pitch0.5mm.kicad_mod
│   │       │   └── TMSch.lib
│   │       ├── Phi10cmBonding
│   │       │   ├── Phi10cmBonding.pro
│   │       │   ├── Phi10cmBonding.sch
│   │       │   ├── TMS1mm1chip.kicad_pcb
│   │       │   ├── TMS1mm1chip.sch
│   │       │   ├── TMSch.lib
│   │       │   ├── array.sch
│   │       │   ├── carrier.kicad_pcb
│   │       │   ├── carrier.sch
│   │       │   ├── connector.sch
│   │       │   ├── fp-lib-table
│   │       │   └── template.kicad_pcb
│   │       ├── README.md
│   │       ├── TMS1mm2ExDACx19
│   │       │   ├── TMS1mm1chip.kicad_pcb
│   │       │   ├── TMS1mm1chip.sch
│   │       │   ├── TMS1mm2ExDACx19.pro
│   │       │   ├── TMS1mm2ExDACx19.sch
│   │       │   ├── TMSch.lib
│   │       │   ├── connector.sch
│   │       │   ├── fp-lib-table
│   │       │   ├── sym-lib-table
│   │       │   └── template.kicad_pcb
│   │       └── TMS1mmX19
│   │           ├── TMS1mm1chip.kicad_pcb
│   │           ├── TMS1mm1chip.sch
│   │           ├── TMS1mmX19.kicad_pcb
│   │           ├── TMS1mmX19.pro
│   │           ├── TMS1mmX19.sch
│   │           ├── TMS1mmX19Carrier.asc
│   │           ├── TMS1mmX19Carrier.pcb
│   │           ├── TMS1mmX19Carrier.sch
│   │           ├── TMS1mmX19CarrierPADS9.5.asc
│   │           ├── TMSch.lib
│   │           ├── array.sch
│   │           ├── connector.sch
│   │           ├── fp-lib-table
│   │           ├── sym-lib-table
│   │           └── template.kicad_pcb
│   ├── LICENSE
│   ├── README.md
│   └── Software
│       ├── Analysis
│       │   └── src
│       │       └── plot
│       │           ├── SDMdemo.dat
│       │           ├── SDMdemo.gp
│       │           ├── WavX19.gp
│       │           └── WavX19adc.dat
│       ├── Control
│       │   └── src
│       │       ├── Makefile
│       │       ├── PyDE
│       │       │   ├── README.md
│       │       │   ├── __init__.py
│       │       │   ├── base.py
│       │       │   ├── de.py
│       │       │   └── test_de.py
│       │       ├── ReadDataFIFO.py
│       │       ├── TMS1mmX19Config.py
│       │       ├── TMS1mmX19Tuner.py
│       │       ├── aurora.py
│       │       ├── command.c
│       │       ├── command.h
│       │       ├── command.py
│       │       ├── common.h
│       │       ├── setup.py
│       │       ├── sigproc.c
│       │       ├── sigproc.h
│       │       └── sigproc.py
│       ├── Doxyfile
│       └── Doxylatexsheet.tex
└── 好例子网_LTC2325.zip

78 directories, 228 files


网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警