实例介绍
FPGA中主要模块包含:时钟模块、OV7670初始化模块、DVP协议数据流模块、写FIFO模块、写FIFO控制模块、SDRAM控制模块、读FIFO模块、读FIFO控制模块、VGA控制模块。 其中OV7670初始化模块、DVP协议数据流模块和VGA控制模块都在本专题博客中写过,这里不再赘述。写FIFO和读FIFO模块使用的IP核,都是宽度16位,长度256,其中读FIFO使用的是showahead模式。SDRAM控制器漆面的博客也写过,这边做了一些改动,添加了一些需要的信号。 其整体流程为:启动时先对摄像头进行初始化设置,初始化完成后,FPGA从摄像头获取一帧一帧的图像数据,根据数
【实例截图】
【核心代码】
4744300845370645736.zip
└── camera_ov7670
├── doc
│ └── 绘图1.vsdx
├── img
│ ├── 1.jpg
│ ├── 2.jpg
│ ├── 3.jpg
│ ├── 4.jpg
│ └── 整体系统框图.png
├── prj
│ ├── camera_ov7670.ipregen.rpt
│ ├── camera_ov7670_nativelink_simulation.rpt
│ ├── camera_ov7670.qpf
│ ├── camera_ov7670.qsf
│ ├── camera_ov7670.qws
│ ├── db
│ │ ├── a_gray2bin_ugb.tdf
│ │ ├── a_graycounter_n5c.tdf
│ │ ├── a_graycounter_pjc.tdf
│ │ ├── a_graycounter_rn6.tdf
│ │ ├── a_graycounter_t57.tdf
│ │ ├── alt_synch_pipe_0ol.tdf
│ │ ├── alt_synch_pipe_1ol.tdf
│ │ ├── alt_synch_pipe_2ol.tdf
│ │ ├── alt_synch_pipe_3ol.tdf
│ │ ├── alt_synch_pipe_h9l.tdf
│ │ ├── alt_synch_pipe_i9l.tdf
│ │ ├── altsyncram_0b24.tdf
│ │ ├── altsyncram_1b24.tdf
│ │ ├── altsyncram_6d24.tdf
│ │ ├── altsyncram_do41.tdf
│ │ ├── altsyncram_ga24.tdf
│ │ ├── altsyncram_ia24.tdf
│ │ ├── altsyncram_id24.tdf
│ │ ├── altsyncram_ka24.tdf
│ │ ├── altsyncram_kd24.tdf
│ │ ├── altsyncram_la24.tdf
│ │ ├── altsyncram_ld24.tdf
│ │ ├── altsyncram_ma24.tdf
│ │ ├── altsyncram_mv61.tdf
│ │ ├── altsyncram_na24.tdf
│ │ ├── altsyncram_oa24.tdf
│ │ ├── altsyncram_p421.tdf
│ │ ├── altsyncram_qa24.tdf
│ │ ├── altsyncram_ra24.tdf
│ │ ├── altsyncram_sa24.tdf
│ │ ├── altsyncram_ua24.tdf
│ │ ├── altsyncram_va24.tdf
│ │ ├── camera_ov7670.(0).cnf.cdb
│ │ ├── camera_ov7670.(0).cnf.hdb
│ │ ├── camera_ov7670.(10).cnf.cdb
│ │ ├── camera_ov7670.(10).cnf.hdb
│ │ ├── camera_ov7670.(11).cnf.cdb
│ │ ├── camera_ov7670.(11).cnf.hdb
│ │ ├── camera_ov7670.(12).cnf.cdb
│ │ ├── camera_ov7670.(12).cnf.hdb
│ │ ├── camera_ov7670.(13).cnf.cdb
│ │ ├── camera_ov7670.(13).cnf.hdb
│ │ ├── camera_ov7670.(14).cnf.cdb
│ │ ├── camera_ov7670.(14).cnf.hdb
│ │ ├── camera_ov7670.(15).cnf.cdb
│ │ ├── camera_ov7670.(15).cnf.hdb
│ │ ├── camera_ov7670.(16).cnf.cdb
│ │ ├── camera_ov7670.(16).cnf.hdb
│ │ ├── camera_ov7670.(17).cnf.cdb
│ │ ├── camera_ov7670.(17).cnf.hdb
│ │ ├── camera_ov7670.(18).cnf.cdb
│ │ ├── camera_ov7670.(18).cnf.hdb
│ │ ├── camera_ov7670.(19).cnf.cdb
│ │ ├── camera_ov7670.(19).cnf.hdb
│ │ ├── camera_ov7670.(1).cnf.cdb
│ │ ├── camera_ov7670.(1).cnf.hdb
│ │ ├── camera_ov7670.(20).cnf.cdb
│ │ ├── camera_ov7670.(20).cnf.hdb
│ │ ├── camera_ov7670.(21).cnf.cdb
│ │ ├── camera_ov7670.(21).cnf.hdb
│ │ ├── camera_ov7670.(22).cnf.cdb
│ │ ├── camera_ov7670.(22).cnf.hdb
│ │ ├── camera_ov7670.(23).cnf.cdb
│ │ ├── camera_ov7670.(23).cnf.hdb
│ │ ├── camera_ov7670.(24).cnf.cdb
│ │ ├── camera_ov7670.(24).cnf.hdb
│ │ ├── camera_ov7670.(25).cnf.cdb
│ │ ├── camera_ov7670.(25).cnf.hdb
│ │ ├── camera_ov7670.(26).cnf.cdb
│ │ ├── camera_ov7670.(26).cnf.hdb
│ │ ├── camera_ov7670.(27).cnf.cdb
│ │ ├── camera_ov7670.(27).cnf.hdb
│ │ ├── camera_ov7670.(28).cnf.cdb
│ │ ├── camera_ov7670.(28).cnf.hdb
│ │ ├── camera_ov7670.(29).cnf.cdb
│ │ ├── camera_ov7670.(29).cnf.hdb
│ │ ├── camera_ov7670.(2).cnf.cdb
│ │ ├── camera_ov7670.(2).cnf.hdb
│ │ ├── camera_ov7670.(30).cnf.cdb
│ │ ├── camera_ov7670.(30).cnf.hdb
│ │ ├── camera_ov7670.(31).cnf.cdb
│ │ ├── camera_ov7670.(31).cnf.hdb
│ │ ├── camera_ov7670.(32).cnf.cdb
│ │ ├── camera_ov7670.(32).cnf.hdb
│ │ ├── camera_ov7670.(33).cnf.cdb
│ │ ├── camera_ov7670.(33).cnf.hdb
│ │ ├── camera_ov7670.(34).cnf.cdb
│ │ ├── camera_ov7670.(34).cnf.hdb
│ │ ├── camera_ov7670.(35).cnf.cdb
│ │ ├── camera_ov7670.(35).cnf.hdb
│ │ ├── camera_ov7670.(36).cnf.cdb
│ │ ├── camera_ov7670.(36).cnf.hdb
│ │ ├── camera_ov7670.(37).cnf.cdb
│ │ ├── camera_ov7670.(37).cnf.hdb
│ │ ├── camera_ov7670.(38).cnf.cdb
│ │ ├── camera_ov7670.(38).cnf.hdb
│ │ ├── camera_ov7670.(39).cnf.cdb
│ │ ├── camera_ov7670.(39).cnf.hdb
│ │ ├── camera_ov7670.(3).cnf.cdb
│ │ ├── camera_ov7670.(3).cnf.hdb
│ │ ├── camera_ov7670.(40).cnf.cdb
│ │ ├── camera_ov7670.(40).cnf.hdb
│ │ ├── camera_ov7670.(41).cnf.cdb
│ │ ├── camera_ov7670.(41).cnf.hdb
│ │ ├── camera_ov7670.(42).cnf.cdb
│ │ ├── camera_ov7670.(42).cnf.hdb
│ │ ├── camera_ov7670.(43).cnf.cdb
│ │ ├── camera_ov7670.(43).cnf.hdb
│ │ ├── camera_ov7670.(44).cnf.cdb
│ │ ├── camera_ov7670.(44).cnf.hdb
│ │ ├── camera_ov7670.(45).cnf.cdb
│ │ ├── camera_ov7670.(45).cnf.hdb
│ │ ├── camera_ov7670.(46).cnf.cdb
│ │ ├── camera_ov7670.(46).cnf.hdb
│ │ ├── camera_ov7670.(47).cnf.cdb
│ │ ├── camera_ov7670.(47).cnf.hdb
│ │ ├── camera_ov7670.(48).cnf.cdb
│ │ ├── camera_ov7670.(48).cnf.hdb
│ │ ├── camera_ov7670.(49).cnf.cdb
│ │ ├── camera_ov7670.(49).cnf.hdb
│ │ ├── camera_ov7670.(4).cnf.cdb
│ │ ├── camera_ov7670.(4).cnf.hdb
│ │ ├── camera_ov7670.(50).cnf.cdb
│ │ ├── camera_ov7670.(50).cnf.hdb
│ │ ├── camera_ov7670.(51).cnf.cdb
│ │ ├── camera_ov7670.(51).cnf.hdb
│ │ ├── camera_ov7670.(52).cnf.cdb
│ │ ├── camera_ov7670.(52).cnf.hdb
│ │ ├── camera_ov7670.(53).cnf.cdb
│ │ ├── camera_ov7670.(53).cnf.hdb
│ │ ├── camera_ov7670.(54).cnf.cdb
│ │ ├── camera_ov7670.(54).cnf.hdb
│ │ ├── camera_ov7670.(55).cnf.cdb
│ │ ├── camera_ov7670.(55).cnf.hdb
│ │ ├── camera_ov7670.(56).cnf.cdb
│ │ ├── camera_ov7670.(56).cnf.hdb
│ │ ├── camera_ov7670.(57).cnf.cdb
│ │ ├── camera_ov7670.(57).cnf.hdb
│ │ ├── camera_ov7670.(58).cnf.cdb
│ │ ├── camera_ov7670.(58).cnf.hdb
│ │ ├── camera_ov7670.(59).cnf.cdb
│ │ ├── camera_ov7670.(59).cnf.hdb
│ │ ├── camera_ov7670.(5).cnf.cdb
│ │ ├── camera_ov7670.(5).cnf.hdb
│ │ ├── camera_ov7670.(60).cnf.cdb
│ │ ├── camera_ov7670.(60).cnf.hdb
│ │ ├── camera_ov7670.(61).cnf.cdb
│ │ ├── camera_ov7670.(61).cnf.hdb
│ │ ├── camera_ov7670.(62).cnf.cdb
│ │ ├── camera_ov7670.(62).cnf.hdb
│ │ ├── camera_ov7670.(63).cnf.cdb
│ │ ├── camera_ov7670.(63).cnf.hdb
│ │ ├── camera_ov7670.(64).cnf.cdb
│ │ ├── camera_ov7670.(64).cnf.hdb
│ │ ├── camera_ov7670.(65).cnf.cdb
│ │ ├── camera_ov7670.(65).cnf.hdb
│ │ ├── camera_ov7670.(66).cnf.cdb
│ │ ├── camera_ov7670.(66).cnf.hdb
│ │ ├── camera_ov7670.(67).cnf.cdb
│ │ ├── camera_ov7670.(67).cnf.hdb
│ │ ├── camera_ov7670.(68).cnf.cdb
│ │ ├── camera_ov7670.(68).cnf.hdb
│ │ ├── camera_ov7670.(69).cnf.cdb
│ │ ├── camera_ov7670.(69).cnf.hdb
│ │ ├── camera_ov7670.(6).cnf.cdb
│ │ ├── camera_ov7670.(6).cnf.hdb
│ │ ├── camera_ov7670.(70).cnf.cdb
│ │ ├── camera_ov7670.(70).cnf.hdb
│ │ ├── camera_ov7670.(71).cnf.cdb
│ │ ├── camera_ov7670.(71).cnf.hdb
│ │ ├── camera_ov7670.(72).cnf.cdb
│ │ ├── camera_ov7670.(72).cnf.hdb
│ │ ├── camera_ov7670.(73).cnf.cdb
│ │ ├── camera_ov7670.(73).cnf.hdb
│ │ ├── camera_ov7670.(74).cnf.cdb
│ │ ├── camera_ov7670.(74).cnf.hdb
│ │ ├── camera_ov7670.(75).cnf.cdb
│ │ ├── camera_ov7670.(75).cnf.hdb
│ │ ├── camera_ov7670.(76).cnf.cdb
│ │ ├── camera_ov7670.(76).cnf.hdb
│ │ ├── camera_ov7670.(77).cnf.cdb
│ │ ├── camera_ov7670.(77).cnf.hdb
│ │ ├── camera_ov7670.(78).cnf.cdb
│ │ ├── camera_ov7670.(78).cnf.hdb
│ │ ├── camera_ov7670.(79).cnf.cdb
│ │ ├── camera_ov7670.(79).cnf.hdb
│ │ ├── camera_ov7670.(7).cnf.cdb
│ │ ├── camera_ov7670.(7).cnf.hdb
│ │ ├── camera_ov7670.(80).cnf.cdb
│ │ ├── camera_ov7670.(80).cnf.hdb
│ │ ├── camera_ov7670.(81).cnf.cdb
│ │ ├── camera_ov7670.(81).cnf.hdb
│ │ ├── camera_ov7670.(82).cnf.cdb
│ │ ├── camera_ov7670.(82).cnf.hdb
│ │ ├── camera_ov7670.(83).cnf.cdb
│ │ ├── camera_ov7670.(83).cnf.hdb
│ │ ├── camera_ov7670.(84).cnf.cdb
│ │ ├── camera_ov7670.(84).cnf.hdb
│ │ ├── camera_ov7670.(85).cnf.cdb
│ │ ├── camera_ov7670.(85).cnf.hdb
│ │ ├── camera_ov7670.(8).cnf.cdb
│ │ ├── camera_ov7670.(8).cnf.hdb
│ │ ├── camera_ov7670.(9).cnf.cdb
│ │ ├── camera_ov7670.(9).cnf.hdb
│ │ ├── camera_ov7670.asm_labs.ddb
│ │ ├── camera_ov7670.asm.qmsg
│ │ ├── camera_ov7670.asm.rdb
│ │ ├── camera_ov7670.autoh_e40e1.map.reg_db.cdb
│ │ ├── camera_ov7670.autos_3e921.map.reg_db.cdb
│ │ ├── camera_ov7670.cbx.xml
│ │ ├── camera_ov7670.cmp.bpm
│ │ ├── camera_ov7670.cmp.cdb
│ │ ├── camera_ov7670.cmp.hdb
│ │ ├── camera_ov7670.cmp.idb
│ │ ├── camera_ov7670.cmp.logdb
│ │ ├── camera_ov7670.cmp_merge.kpt
│ │ ├── camera_ov7670.cmp.rdb
│ │ ├── camera_ov7670.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│ │ ├── camera_ov7670.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│ │ ├── camera_ov7670.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│ │ ├── camera_ov7670.db_info
│ │ ├── camera_ov7670.eda.qmsg
│ │ ├── camera_ov7670.fit.qmsg
│ │ ├── camera_ov7670.hier_info
│ │ ├── camera_ov7670.hif
│ │ ├── camera_ov7670.lpc.html
│ │ ├── camera_ov7670.lpc.rdb
│ │ ├── camera_ov7670.lpc.txt
│ │ ├── camera_ov7670.map.ammdb
│ │ ├── camera_ov7670.map_bb.cdb
│ │ ├── camera_ov7670.map_bb.hdb
│ │ ├── camera_ov7670.map_bb.logdb
│ │ ├── camera_ov7670.map.bpm
│ │ ├── camera_ov7670.map.cdb
│ │ ├── camera_ov7670.map.hdb
│ │ ├── camera_ov7670.map.kpt
│ │ ├── camera_ov7670.map.logdb
│ │ ├── camera_ov7670.map.qmsg
│ │ ├── camera_ov7670.map.rdb
│ │ ├── camera_ov7670_partition_pins.json
│ │ ├── camera_ov7670.pplq.rdb
│ │ ├── camera_ov7670.pre_map.hdb
│ │ ├── camera_ov7670.root_partition.map.reg_db.cdb
│ │ ├── camera_ov7670.routing.rdb
│ │ ├── camera_ov7670.rtlv.hdb
│ │ ├── camera_ov7670.rtlv_sg.cdb
│ │ ├── camera_ov7670.rtlv_sg_swap.cdb
│ │ ├── camera_ov7670.sld_design_entry_dsc.sci
│ │ ├── camera_ov7670.sld_design_entry.sci
│ │ ├── camera_ov7670.smart_action.txt
│ │ ├── camera_ov7670.smp_dump.txt
│ │ ├── camera_ov7670.sta_cmp.8_slow_1200mv_85c.tdb
│ │ ├── camera_ov7670.sta.qmsg
│ │ ├── camera_ov7670.sta.rdb
│ │ ├── camera_ov7670.tiscmp.fast_1200mv_0c.ddb
│ │ ├── camera_ov7670.tiscmp.fastest_slow_1200mv_0c.ddb
│ │ ├── camera_ov7670.tiscmp.fastest_slow_1200mv_85c.ddb
│ │ ├── camera_ov7670.tiscmp.slow_1200mv_0c.ddb
│ │ ├── camera_ov7670.tiscmp.slow_1200mv_85c.ddb
│ │ ├── camera_ov7670.tis_db_list.ddb
│ │ ├── camera_ov7670.vpr.ammdb
│ │ ├── cmpr_f66.tdf
│ │ ├── cmpr_ngc.tdf
│ │ ├── cmpr_rgc.tdf
│ │ ├── cmpr_sgc.tdf
│ │ ├── cmpr_tgc.tdf
│ │ ├── cmpr_ugc.tdf
│ │ ├── cntr_23j.tdf
│ │ ├── cntr_4ii.tdf
│ │ ├── cntr_5ii.tdf
│ │ ├── cntr_6ii.tdf
│ │ ├── cntr_89j.tdf
│ │ ├── cntr_cgi.tdf
│ │ ├── cntr_hgi.tdf
│ │ ├── cntr_igi.tdf
│ │ ├── cntr_jgi.tdf
│ │ ├── cntr_kgi.tdf
│ │ ├── cntr_lgi.tdf
│ │ ├── cntr_mgi.tdf
│ │ ├── cntr_ngi.tdf
│ │ ├── cntr_ogi.tdf
│ │ ├── cntr_pgi.tdf
│ │ ├── cntr_qgi.tdf
│ │ ├── cntr_rgi.tdf
│ │ ├── cntr_sgi.tdf
│ │ ├── cntr_tgi.tdf
│ │ ├── cntr_thi.tdf
│ │ ├── cntr_ugi.tdf
│ │ ├── dcfifo_gbg1.tdf
│ │ ├── dcfifo_p0l1.tdf
│ │ ├── dcfifo_rtk1.tdf
│ │ ├── decode_dvf.tdf
│ │ ├── dffpipe_1v8.tdf
│ │ ├── dffpipe_2v8.tdf
│ │ ├── dffpipe_3v8.tdf
│ │ ├── dffpipe_gd9.tdf
│ │ ├── dffpipe_hd9.tdf
│ │ ├── dffpipe_id9.tdf
│ │ ├── dffpipe_jd9.tdf
│ │ ├── dffpipe_kd9.tdf
│ │ ├── ip
│ │ │ └── sld78cee08b
│ │ │ ├── alt_sld_fab.qip
│ │ │ ├── alt_sld_fab__report.html
│ │ │ ├── alt_sld_fab__report.xml
│ │ │ ├── alt_sld_fab.sopcinfo
│ │ │ ├── alt_sld_fab.v
│ │ │ ├── alt_sld_fab_wrapper_hw.tcl
│ │ │ └── submodules
│ │ │ ├── alt_sld_fab_alt_sld_fab_ident.sv
│ │ │ ├── alt_sld_fab_alt_sld_fab_presplit.sv
│ │ │ ├── alt_sld_fab_alt_sld_fab_sldfabric.vhd
│ │ │ ├── alt_sld_fab_alt_sld_fab_splitter.sv
│ │ │ └── alt_sld_fab_alt_sld_fab.v
│ │ ├── mux_psc.tdf
│ │ ├── pll_altpll.v
│ │ ├── prev_cmp_camera_ov7670.qmsg
│ │ └── stp1_auto_stripped.stp
│ ├── greybox_tmp
│ │ └── cbx_args.txt
│ ├── incremental_db
│ │ ├── compiled_partitions
│ │ │ ├── camera_ov7670.autoh_e40e1.cmp.ammdb
│ │ │ ├── camera_ov7670.autoh_e40e1.cmp.cdb
│ │ │ ├── camera_ov7670.autoh_e40e1.cmp.dfp
│ │ │ ├── camera_ov7670.autoh_e40e1.cmp.hdb
│ │ │ ├── camera_ov7670.autoh_e40e1.cmp.logdb
│ │ │ ├── camera_ov7670.autoh_e40e1.cmp.rcfdb
│ │ │ ├── camera_ov7670.autoh_e40e1.map.cdb
│ │ │ ├── camera_ov7670.autoh_e40e1.map.dpi
│ │ │ ├── camera_ov7670.autoh_e40e1.map.hdb
│ │ │ ├── camera_ov7670.autoh_e40e1.map.kpt
│ │ │ ├── camera_ov7670.autoh_e40e1.map.logdb
│ │ │ ├── camera_ov7670.autos_3e921.cmp.ammdb
│ │ │ ├── camera_ov7670.autos_3e921.cmp.cdb
│ │ │ ├── camera_ov7670.autos_3e921.cmp.dfp
│ │ │ ├── camera_ov7670.autos_3e921.cmp.hdb
│ │ │ ├── camera_ov7670.autos_3e921.cmp.logdb
│ │ │ ├── camera_ov7670.autos_3e921.cmp.rcfdb
│ │ │ ├── camera_ov7670.autos_3e921.map.cdb
│ │ │ ├── camera_ov7670.autos_3e921.map.dpi
│ │ │ ├── camera_ov7670.autos_3e921.map.hdb
│ │ │ ├── camera_ov7670.autos_3e921.map.kpt
│ │ │ ├── camera_ov7670.autos_3e921.map.logdb
│ │ │ ├── camera_ov7670.db_info
│ │ │ ├── camera_ov7670.root_partition.cmp.ammdb
│ │ │ ├── camera_ov7670.root_partition.cmp.cdb
│ │ │ ├── camera_ov7670.root_partition.cmp.dfp
│ │ │ ├── camera_ov7670.root_partition.cmp.hdb
│ │ │ ├── camera_ov7670.root_partition.cmp.logdb
│ │ │ ├── camera_ov7670.root_partition.cmp.rcfdb
│ │ │ ├── camera_ov7670.root_partition.map.cdb
│ │ │ ├── camera_ov7670.root_partition.map.dpi
│ │ │ ├── camera_ov7670.root_partition.map.hbdb.cdb
│ │ │ ├── camera_ov7670.root_partition.map.hbdb.hb_info
│ │ │ ├── camera_ov7670.root_partition.map.hbdb.hdb
│ │ │ ├── camera_ov7670.root_partition.map.hbdb.sig
│ │ │ ├── camera_ov7670.root_partition.map.hdb
│ │ │ ├── camera_ov7670.root_partition.map.kpt
│ │ │ └── camera_ov7670.rrp.hdb
│ │ └── README
│ ├── output_files
│ │ ├── camera_ov7670.asm.rpt
│ │ ├── camera_ov7670.done
│ │ ├── camera_ov7670.eda.rpt
│ │ ├── camera_ov7670.fit.rpt
│ │ ├── camera_ov7670.fit.smsg
│ │ ├── camera_ov7670.fit.summary
│ │ ├── camera_ov7670.flow.rpt
│ │ ├── camera_ov7670.jdi
│ │ ├── camera_ov7670.map.rpt
│ │ ├── camera_ov7670.map.summary
│ │ ├── camera_ov7670.pin
│ │ ├── camera_ov7670.sld
│ │ ├── camera_ov7670.sof
│ │ ├── camera_ov7670.sta.rpt
│ │ ├── camera_ov7670.sta.summary
│ │ └── stp1.stp
│ └── simulation
│ └── modelsim
│ ├── camera_ov7670_8_1200mv_0c_slow.vo
│ ├── camera_ov7670_8_1200mv_0c_v_slow.sdo
│ ├── camera_ov7670_8_1200mv_85c_slow.vo
│ ├── camera_ov7670_8_1200mv_85c_v_slow.sdo
│ ├── camera_ov7670_min_1200mv_0c_fast.vo
│ ├── camera_ov7670_min_1200mv_0c_v_fast.sdo
│ ├── camera_ov7670_modelsim.xrf
│ ├── camera_ov7670_run_msim_rtl_verilog.do
│ ├── camera_ov7670_run_msim_rtl_verilog.do.bak
│ ├── camera_ov7670_run_msim_rtl_verilog.do.bak1
│ ├── camera_ov7670_run_msim_rtl_verilog.do.bak2
│ ├── camera_ov7670_run_msim_rtl_verilog.do.bak3
│ ├── camera_ov7670_run_msim_rtl_verilog.do.bak4
│ ├── camera_ov7670_run_msim_rtl_verilog.do.bak5
│ ├── camera_ov7670_run_msim_rtl_verilog.do.bak6
│ ├── camera_ov7670_run_msim_rtl_verilog.do.bak7
│ ├── camera_ov7670_run_msim_rtl_verilog.do.bak8
│ ├── camera_ov7670_run_msim_rtl_verilog.do.bak9
│ ├── camera_ov7670.sft
│ ├── camera_ov7670.vo
│ ├── camera_ov7670_v.sdo
│ ├── modelsim.ini
│ ├── msim_transcript
│ ├── rtl_work
│ │ ├── _info
│ │ ├── _lib1_4.qdb
│ │ ├── _lib1_4.qpg
│ │ ├── _lib1_4.qtl
│ │ ├── _lib.qdb
│ │ └── _vmake
│ ├── verilog_libs
│ │ ├── altera_lnsim_ver
│ │ │ ├── _info
│ │ │ ├── _lib1_9.qdb
│ │ │ ├── _lib1_9.qpg
│ │ │ ├── _lib1_9.qtl
│ │ │ ├── _lib.qdb
│ │ │ └── _vmake
│ │ ├── altera_mf_ver
│ │ │ ├── _info
│ │ │ ├── _lib1_9.qdb
│ │ │ ├── _lib1_9.qpg
│ │ │ ├── _lib1_9.qtl
│ │ │ ├── _lib.qdb
│ │ │ └── _vmake
│ │ ├── altera_ver
│ │ │ ├── _info
│ │ │ ├── _lib1_9.qdb
│ │ │ ├── _lib1_9.qpg
│ │ │ ├── _lib1_9.qtl
│ │ │ ├── _lib.qdb
│ │ │ └── _vmake
│ │ ├── cycloneive_ver
│ │ │ ├── _info
│ │ │ ├── _lib1_9.qdb
│ │ │ ├── _lib1_9.qpg
│ │ │ ├── _lib1_9.qtl
│ │ │ ├── _lib.qdb
│ │ │ └── _vmake
│ │ ├── lpm_ver
│ │ │ ├── _info
│ │ │ ├── _lib1_9.qdb
│ │ │ ├── _lib1_9.qpg
│ │ │ ├── _lib1_9.qtl
│ │ │ ├── _lib.qdb
│ │ │ └── _vmake
│ │ └── sgate_ver
│ │ ├── _info
│ │ ├── _lib1_9.qdb
│ │ ├── _lib1_9.qpg
│ │ ├── _lib1_9.qtl
│ │ ├── _lib.qdb
│ │ └── _vmake
│ ├── vsim.wlf
│ └── wlft2x25cx
├── rtl
│ ├── camera_ov7670_top_tb.v
│ ├── camera_ov7670_top.v
│ ├── camera_ov7670_top.v.bak
│ ├── IP_FIFO
│ │ ├── async_fifo_bb.v
│ │ ├── async_fifo_inst.v
│ │ ├── async_fifo.qip
│ │ ├── async_fifo_tb.v
│ │ └── async_fifo.v
│ ├── IP_FIFO_AHEAD
│ │ ├── async_fifo_ahead_bb.v
│ │ ├── async_fifo_ahead_inst.v
│ │ ├── async_fifo_ahead.qip
│ │ ├── async_fifo_ahead_tb.v
│ │ ├── async_fifo_ahead_tb.v.bak
│ │ └── async_fifo_ahead.v
│ ├── IP_PLL
│ │ ├── pll_bb.v
│ │ ├── pll_inst.v
│ │ ├── pll.ppf
│ │ ├── pll.qip
│ │ └── pll.v
│ ├── ov7670_config.v
│ ├── ov7670_data_16rgb565.v
│ ├── ov7670_init.v
│ ├── rd_control.v
│ ├── rd_control.v.bak
│ ├── SCCB_sender.v
│ ├── SDRAM_control.v
│ ├── SDRAM_control.v.bak
│ ├── VGA_ctrl.v
│ ├── work
│ │ ├── _info
│ │ ├── _lib1_0.qdb
│ │ ├── _lib1_0.qpg
│ │ ├── _lib1_0.qtl
│ │ ├── _lib.qdb
│ │ └── _vmake
│ ├── wr_control.v
│ └── wr_control.v.bak
└── sim
├── sdram_sim
│ ├── 111.cr.mti
│ ├── 111.mpf
│ ├── async_fifo_ahead.v
│ ├── async_fifo.v
│ ├── pll.v
│ ├── rd_control.v
│ ├── SDRAM_control_test_tb.v
│ ├── SDRAM_control_test_tb.v.bak
│ ├── SDRAM_control_test.v
│ ├── SDRAM_control_test.v.bak
│ ├── SDRAM_control.v
│ ├── sdram_model_plus.v
│ ├── vsim.wlf
│ ├── work
│ │ ├── _info
│ │ ├── _lib1_7.qdb
│ │ ├── _lib1_7.qpg
│ │ ├── _lib1_7.qtl
│ │ ├── _lib1_8.qdb
│ │ ├── _lib1_8.qpg
│ │ ├── _lib1_8.qtl
│ │ ├── _lib.qdb
│ │ └── _vmake
│ └── wr_control.v
└── sim1
├── 111.cr.mti
├── 111.mpf
├── transcript
├── vsim.wlf
└── work
├── _info
├── _lib1_0.qdb
├── _lib1_0.qpg
├── _lib1_0.qtl
├── _lib.qdb
└── _vmake
32 directories, 518 files
标签:
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论