在好例子网,分享、交流、成长!
您当前所在位置:首页C/C++ 开发实例嵌入式开发 → xJTAG的SDK开发包

xJTAG的SDK开发包

嵌入式开发

下载此实例
  • 开发语言:C/C++
  • 实例大小:12.66M
  • 下载次数:5
  • 浏览次数:139
  • 发布时间:2021-11-30
  • 实例类别:嵌入式开发
  • 发 布 人:fl2791668178
  • 文件格式:.7z
  • 所需积分:2
 相关标签: 开发包 sdk sd 开发

实例介绍

【实例简介】xJTAG的SDK开发包

  实现xilinx的JTAG与FPGA通信

  实现xilinx的JTAG与FPGA通信,包括C代码和fpga代码,可以实现C语言通过JTAG下载线读写fpga内部寄存器

【实例截图】from clipboard
【核心代码】.
├── xjtag
│   ├── api_lib
│   │   ├── win32
│   │   │   ├── xjtag.dll
│   │   │   └── xjtag.lib
│   │   ├── x64
│   │   │   ├── xjtag.dll
│   │   │   └── xjtag.lib
│   │   └── xjtag.h
│   ├── axi_bus_demo
│   │   ├── prj
│   │   │   ├── axi_bus_demo.cache
│   │   │   │   ├── compile_simlib
│   │   │   │   │   ├── activehdl
│   │   │   │   │   ├── ies
│   │   │   │   │   ├── modelsim
│   │   │   │   │   ├── questa
│   │   │   │   │   ├── riviera
│   │   │   │   │   ├── vcs
│   │   │   │   │   └── xcelium
│   │   │   │   ├── ip
│   │   │   │   │   └── 2018.2
│   │   │   │   │       ├── 53a5071bda7105fa
│   │   │   │   │       │   ├── 53a5071bda7105fa.xci
│   │   │   │   │       │   ├── clk_wiz_0.dcp
│   │   │   │   │       │   ├── clk_wiz_0_sim_netlist.v
│   │   │   │   │       │   ├── clk_wiz_0_sim_netlist.vhdl
│   │   │   │   │       │   ├── clk_wiz_0_stub.v
│   │   │   │   │       │   ├── clk_wiz_0_stub.vhdl
│   │   │   │   │       │   └── stats.txt
│   │   │   │   │       ├── 53a5071bda7105fa.logs
│   │   │   │   │       │   └── runme.log
│   │   │   │   │       ├── fdc082bfb7d23a9e
│   │   │   │   │       │   ├── design_1_axi_gpio_0_0.dcp
│   │   │   │   │       │   ├── design_1_axi_gpio_0_0_sim_netlist.v
│   │   │   │   │       │   ├── design_1_axi_gpio_0_0_sim_netlist.vhdl
│   │   │   │   │       │   ├── design_1_axi_gpio_0_0_stub.v
│   │   │   │   │       │   ├── design_1_axi_gpio_0_0_stub.vhdl
│   │   │   │   │       │   ├── fdc082bfb7d23a9e.xci
│   │   │   │   │       │   └── stats.txt
│   │   │   │   │       └── fdc082bfb7d23a9e.logs
│   │   │   │   │           └── runme.log
│   │   │   │   └── wt
│   │   │   │       ├── gui_handlers.wdf
│   │   │   │       ├── java_command_handlers.wdf
│   │   │   │       ├── project.wpc
│   │   │   │       ├── synthesis.wdf
│   │   │   │       ├── synthesis_details.wdf
│   │   │   │       └── webtalk_pa.xml
│   │   │   ├── axi_bus_demo.hw
│   │   │   │   ├── axi_bus_demo.lpr
│   │   │   │   └── hw_1
│   │   │   │       ├── hw.xml
│   │   │   │       ├── layout
│   │   │   │       └── wave
│   │   │   ├── axi_bus_demo.ip_user_files
│   │   │   │   ├── README.txt
│   │   │   │   ├── bd
│   │   │   │   │   └── design_1
│   │   │   │   │       ├── ip
│   │   │   │   │       │   ├── design_1_axi_gpio_0_0
│   │   │   │   │       │   │   └── sim
│   │   │   │   │       │   │       └── design_1_axi_gpio_0_0.vhd
│   │   │   │   │       │   └── design_1_xjtag_axi_0_0
│   │   │   │   │       │       └── sim
│   │   │   │   │       │           └── design_1_xjtag_axi_0_0.v
│   │   │   │   │       ├── ipshared
│   │   │   │   │       │   └── 2284
│   │   │   │   │       │       └── src
│   │   │   │   │       │           └── xjtag_axi.v
│   │   │   │   │       └── sim
│   │   │   │   │           └── design_1.v
│   │   │   │   ├── ip
│   │   │   │   │   └── clk_wiz_0
│   │   │   │   │       ├── clk_wiz_0.veo
│   │   │   │   │       ├── clk_wiz_0_stub.v
│   │   │   │   │       └── clk_wiz_0_stub.vhdl
│   │   │   │   └── sim_scripts
│   │   │   │       ├── clk_wiz_0
│   │   │   │       │   ├── README.txt
│   │   │   │       │   ├── activehdl
│   │   │   │       │   │   ├── README.txt
│   │   │   │       │   │   ├── clk_wiz_0.sh
│   │   │   │       │   │   ├── clk_wiz_0.udo
│   │   │   │       │   │   ├── compile.do
│   │   │   │       │   │   ├── file_info.txt
│   │   │   │       │   │   ├── glbl.v
│   │   │   │       │   │   ├── simulate.do
│   │   │   │       │   │   └── wave.do
│   │   │   │       │   ├── ies
│   │   │   │       │   │   ├── README.txt
│   │   │   │       │   │   ├── clk_wiz_0.sh
│   │   │   │       │   │   ├── file_info.txt
│   │   │   │       │   │   ├── glbl.v
│   │   │   │       │   │   └── run.f
│   │   │   │       │   ├── modelsim
│   │   │   │       │   │   ├── README.txt
│   │   │   │       │   │   ├── clk_wiz_0.sh
│   │   │   │       │   │   ├── clk_wiz_0.udo
│   │   │   │       │   │   ├── compile.do
│   │   │   │       │   │   ├── file_info.txt
│   │   │   │       │   │   ├── glbl.v
│   │   │   │       │   │   ├── simulate.do
│   │   │   │       │   │   └── wave.do
│   │   │   │       │   ├── questa
│   │   │   │       │   │   ├── README.txt
│   │   │   │       │   │   ├── clk_wiz_0.sh
│   │   │   │       │   │   ├── clk_wiz_0.udo
│   │   │   │       │   │   ├── compile.do
│   │   │   │       │   │   ├── elaborate.do
│   │   │   │       │   │   ├── file_info.txt
│   │   │   │       │   │   ├── glbl.v
│   │   │   │       │   │   ├── simulate.do
│   │   │   │       │   │   └── wave.do
│   │   │   │       │   ├── riviera
│   │   │   │       │   │   ├── README.txt
│   │   │   │       │   │   ├── clk_wiz_0.sh
│   │   │   │       │   │   ├── clk_wiz_0.udo
│   │   │   │       │   │   ├── compile.do
│   │   │   │       │   │   ├── file_info.txt
│   │   │   │       │   │   ├── glbl.v
│   │   │   │       │   │   ├── simulate.do
│   │   │   │       │   │   └── wave.do
│   │   │   │       │   ├── vcs
│   │   │   │       │   │   ├── README.txt
│   │   │   │       │   │   ├── clk_wiz_0.sh
│   │   │   │       │   │   ├── file_info.txt
│   │   │   │       │   │   ├── glbl.v
│   │   │   │       │   │   └── simulate.do
│   │   │   │       │   ├── xcelium
│   │   │   │       │   │   ├── README.txt
│   │   │   │       │   │   ├── clk_wiz_0.sh
│   │   │   │       │   │   ├── file_info.txt
│   │   │   │       │   │   ├── glbl.v
│   │   │   │       │   │   └── run.f
│   │   │   │       │   └── xsim
│   │   │   │       │       ├── README.txt
│   │   │   │       │       ├── clk_wiz_0.sh
│   │   │   │       │       ├── cmd.tcl
│   │   │   │       │       ├── elab.opt
│   │   │   │       │       ├── file_info.txt
│   │   │   │       │       ├── glbl.v
│   │   │   │       │       ├── vlog.prj
│   │   │   │       │       └── xsim.ini
│   │   │   │       └── design_1
│   │   │   │           ├── README.txt
│   │   │   │           ├── activehdl
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── compile.do
│   │   │   │           │   ├── design_1.sh
│   │   │   │           │   ├── design_1.udo
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   ├── simulate.do
│   │   │   │           │   └── wave.do
│   │   │   │           ├── ies
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── design_1.sh
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   └── run.f
│   │   │   │           ├── modelsim
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── compile.do
│   │   │   │           │   ├── design_1.sh
│   │   │   │           │   ├── design_1.udo
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   ├── simulate.do
│   │   │   │           │   └── wave.do
│   │   │   │           ├── questa
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── compile.do
│   │   │   │           │   ├── design_1.sh
│   │   │   │           │   ├── design_1.udo
│   │   │   │           │   ├── elaborate.do
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   ├── simulate.do
│   │   │   │           │   └── wave.do
│   │   │   │           ├── riviera
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── compile.do
│   │   │   │           │   ├── design_1.sh
│   │   │   │           │   ├── design_1.udo
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   ├── simulate.do
│   │   │   │           │   └── wave.do
│   │   │   │           ├── vcs
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── design_1.sh
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   └── simulate.do
│   │   │   │           ├── xcelium
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── design_1.sh
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   └── run.f
│   │   │   │           └── xsim
│   │   │   │               ├── README.txt
│   │   │   │               ├── cmd.tcl
│   │   │   │               ├── design_1.sh
│   │   │   │               ├── elab.opt
│   │   │   │               ├── file_info.txt
│   │   │   │               ├── glbl.v
│   │   │   │               ├── vhdl.prj
│   │   │   │               ├── vlog.prj
│   │   │   │               └── xsim.ini
│   │   │   ├── axi_bus_demo.runs
│   │   │   │   ├── clk_wiz_0_synth_1
│   │   │   │   │   ├── ISEWrap.js
│   │   │   │   │   ├── ISEWrap.sh
│   │   │   │   │   ├── __synthesis_is_complete__
│   │   │   │   │   ├── clk_wiz_0.dcp
│   │   │   │   │   ├── clk_wiz_0.tcl
│   │   │   │   │   ├── clk_wiz_0.vds
│   │   │   │   │   ├── clk_wiz_0_utilization_synth.pb
│   │   │   │   │   ├── clk_wiz_0_utilization_synth.rpt
│   │   │   │   │   ├── dont_touch.xdc
│   │   │   │   │   ├── gen_run.xml
│   │   │   │   │   ├── htr.txt
│   │   │   │   │   ├── project.wdf
│   │   │   │   │   ├── rundef.js
│   │   │   │   │   ├── runme.bat
│   │   │   │   │   ├── runme.log
│   │   │   │   │   ├── runme.sh
│   │   │   │   │   ├── vivado.jou
│   │   │   │   │   └── vivado.pb
│   │   │   │   ├── impl_1
│   │   │   │   │   ├── ISEWrap.js
│   │   │   │   │   ├── ISEWrap.sh
│   │   │   │   │   ├── gen_run.xml
│   │   │   │   │   ├── htr.txt
│   │   │   │   │   ├── init_design.pb
│   │   │   │   │   ├── opt_design.pb
│   │   │   │   │   ├── place_design.pb
│   │   │   │   │   ├── project.wdf
│   │   │   │   │   ├── route_design.pb
│   │   │   │   │   ├── rundef.js
│   │   │   │   │   ├── runme.bat
│   │   │   │   │   ├── runme.log
│   │   │   │   │   ├── runme.sh
│   │   │   │   │   ├── t160_top.bit
│   │   │   │   │   ├── t160_top.hwdef
│   │   │   │   │   ├── t160_top.sysdef
│   │   │   │   │   ├── t160_top.tcl
│   │   │   │   │   ├── t160_top.vdi
│   │   │   │   │   ├── t160_top_bus_skew_routed.pb
│   │   │   │   │   ├── t160_top_bus_skew_routed.rpt
│   │   │   │   │   ├── t160_top_bus_skew_routed.rpx
│   │   │   │   │   ├── t160_top_clock_utilization_routed.rpt
│   │   │   │   │   ├── t160_top_control_sets_placed.rpt
│   │   │   │   │   ├── t160_top_drc_opted.pb
│   │   │   │   │   ├── t160_top_drc_opted.rpt
│   │   │   │   │   ├── t160_top_drc_opted.rpx
│   │   │   │   │   ├── t160_top_drc_routed.pb
│   │   │   │   │   ├── t160_top_drc_routed.rpt
│   │   │   │   │   ├── t160_top_drc_routed.rpx
│   │   │   │   │   ├── t160_top_io_placed.rpt
│   │   │   │   │   ├── t160_top_methodology_drc_routed.pb
│   │   │   │   │   ├── t160_top_methodology_drc_routed.rpt
│   │   │   │   │   ├── t160_top_methodology_drc_routed.rpx
│   │   │   │   │   ├── t160_top_opt.dcp
│   │   │   │   │   ├── t160_top_placed.dcp
│   │   │   │   │   ├── t160_top_power_routed.rpt
│   │   │   │   │   ├── t160_top_power_routed.rpx
│   │   │   │   │   ├── t160_top_power_summary_routed.pb
│   │   │   │   │   ├── t160_top_route_status.pb
│   │   │   │   │   ├── t160_top_route_status.rpt
│   │   │   │   │   ├── t160_top_routed.dcp
│   │   │   │   │   ├── t160_top_timing_summary_routed.pb
│   │   │   │   │   ├── t160_top_timing_summary_routed.rpt
│   │   │   │   │   ├── t160_top_timing_summary_routed.rpx
│   │   │   │   │   ├── t160_top_utilization_placed.pb
│   │   │   │   │   ├── t160_top_utilization_placed.rpt
│   │   │   │   │   ├── usage_statistics_webtalk.html
│   │   │   │   │   ├── usage_statistics_webtalk.xml
│   │   │   │   │   ├── vivado.jou
│   │   │   │   │   ├── vivado.pb
│   │   │   │   │   └── write_bitstream.pb
│   │   │   │   └── synth_1
│   │   │   │       ├── ISEWrap.js
│   │   │   │       ├── ISEWrap.sh
│   │   │   │       ├── __synthesis_is_complete__
│   │   │   │       ├── dont_touch.xdc
│   │   │   │       ├── gen_run.xml
│   │   │   │       ├── htr.txt
│   │   │   │       ├── planAhead.ngc2edif.log
│   │   │   │       ├── rundef.js
│   │   │   │       ├── runme.bat
│   │   │   │       ├── runme.log
│   │   │   │       ├── runme.sh
│   │   │   │       ├── t160_top.dcp
│   │   │   │       ├── t160_top.tcl
│   │   │   │       ├── t160_top.vds
│   │   │   │       ├── t160_top_utilization_synth.pb
│   │   │   │       ├── t160_top_utilization_synth.rpt
│   │   │   │       ├── vivado.jou
│   │   │   │       └── vivado.pb
│   │   │   ├── axi_bus_demo.sim
│   │   │   ├── axi_bus_demo.srcs
│   │   │   │   └── sources_1
│   │   │   │       ├── bd
│   │   │   │       │   └── design_1
│   │   │   │       │       ├── design_1.bd
│   │   │   │       │       ├── design_1.bxml
│   │   │   │       │       ├── design_1_ooc.xdc
│   │   │   │       │       ├── hdl
│   │   │   │       │       │   └── design_1_wrapper.v
│   │   │   │       │       ├── hw_handoff
│   │   │   │       │       │   ├── design_1.hwh
│   │   │   │       │       │   └── design_1_bd.tcl
│   │   │   │       │       ├── ip
│   │   │   │       │       │   ├── design_1_axi_gpio_0_0
│   │   │   │       │       │   │   ├── design_1_axi_gpio_0_0.xci
│   │   │   │       │       │   │   ├── design_1_axi_gpio_0_0.xdc
│   │   │   │       │       │   │   ├── design_1_axi_gpio_0_0.xml
│   │   │   │       │       │   │   ├── design_1_axi_gpio_0_0_board.xdc
│   │   │   │       │       │   │   ├── design_1_axi_gpio_0_0_ooc.xdc
│   │   │   │       │       │   │   ├── sim
│   │   │   │       │       │   │   │   └── design_1_axi_gpio_0_0.vhd
│   │   │   │       │       │   │   └── synth
│   │   │   │       │       │   │       └── design_1_axi_gpio_0_0.vhd
│   │   │   │       │       │   └── design_1_xjtag_axi_0_0
│   │   │   │       │       │       ├── design_1_xjtag_axi_0_0.xci
│   │   │   │       │       │       ├── design_1_xjtag_axi_0_0.xml
│   │   │   │       │       │       ├── sim
│   │   │   │       │       │       │   └── design_1_xjtag_axi_0_0.v
│   │   │   │       │       │       ├── src
│   │   │   │       │       │       │   └── xjtag_axi.ngc
│   │   │   │       │       │       └── synth
│   │   │   │       │       │           └── design_1_xjtag_axi_0_0.v
│   │   │   │       │       ├── ipshared
│   │   │   │       │       │   ├── 2284
│   │   │   │       │       │   │   └── src
│   │   │   │       │       │   │       └── xjtag_axi.v
│   │   │   │       │       │   ├── 8e66
│   │   │   │       │       │   │   └── hdl
│   │   │   │       │       │   │       └── interrupt_control_v3_1_vh_rfs.vhd
│   │   │   │       │       │   ├── c193
│   │   │   │       │       │   │   └── hdl
│   │   │   │       │       │   │       └── axi_gpio_v2_0_vh_rfs.vhd
│   │   │   │       │       │   ├── cced
│   │   │   │       │       │   │   └── hdl
│   │   │   │       │       │   │       └── axi_lite_ipif_v3_0_vh_rfs.vhd
│   │   │   │       │       │   └── ef1e
│   │   │   │       │       │       └── hdl
│   │   │   │       │       │           └── lib_cdc_v1_0_rfs.vhd
│   │   │   │       │       ├── sim
│   │   │   │       │       │   └── design_1.v
│   │   │   │       │       ├── synth
│   │   │   │       │       │   ├── design_1.hwdef
│   │   │   │       │       │   └── design_1.v
│   │   │   │       │       └── ui
│   │   │   │       │           └── bd_1f5defd0.ui
│   │   │   │       ├── imports
│   │   │   │       │   └── hdl
│   │   │   │       │       └── design_1_wrapper.v
│   │   │   │       └── ip
│   │   │   │           └── clk_wiz_0
│   │   │   │               ├── clk_wiz_0.dcp
│   │   │   │               ├── clk_wiz_0.v
│   │   │   │               ├── clk_wiz_0.veo
│   │   │   │               ├── clk_wiz_0.xci
│   │   │   │               ├── clk_wiz_0.xdc
│   │   │   │               ├── clk_wiz_0.xml
│   │   │   │               ├── clk_wiz_0_board.xdc
│   │   │   │               ├── clk_wiz_0_clk_wiz.v
│   │   │   │               ├── clk_wiz_0_ooc.xdc
│   │   │   │               ├── clk_wiz_0_sim_netlist.v
│   │   │   │               ├── clk_wiz_0_sim_netlist.vhdl
│   │   │   │               ├── clk_wiz_0_stub.v
│   │   │   │               ├── clk_wiz_0_stub.vhdl
│   │   │   │               ├── doc
│   │   │   │               │   └── clk_wiz_v6_0_changelog.txt
│   │   │   │               ├── mmcm_pll_drp_func_7s_mmcm.vh
│   │   │   │               ├── mmcm_pll_drp_func_7s_pll.vh
│   │   │   │               ├── mmcm_pll_drp_func_us_mmcm.vh
│   │   │   │               ├── mmcm_pll_drp_func_us_pll.vh
│   │   │   │               ├── mmcm_pll_drp_func_us_plus_mmcm.vh
│   │   │   │               └── mmcm_pll_drp_func_us_plus_pll.vh
│   │   │   ├── axi_bus_demo.xpr
│   │   │   └── ip_upgrade.log
│   │   └── src
│   │       ├── t160_top.v
│   │       └── t160_top.xdc
│   ├── axi_bus_ip
│   │   ├── component.xml
│   │   ├── src
│   │   │   ├── xjtag_axi.ngc
│   │   │   └── xjtag_axi.v
│   │   └── xgui
│   │       └── xjtag_axi_v1_0.tcl
│   ├── localbus_demo
│   │   ├── prj
│   │   │   ├── localbus_demo.cache
│   │   │   │   ├── compile_simlib
│   │   │   │   │   ├── activehdl
│   │   │   │   │   ├── ies
│   │   │   │   │   ├── modelsim
│   │   │   │   │   ├── questa
│   │   │   │   │   ├── riviera
│   │   │   │   │   ├── vcs
│   │   │   │   │   └── xcelium
│   │   │   │   ├── ip
│   │   │   │   │   └── 2018.2
│   │   │   │   │       ├── 2fd8150145e5e4c7
│   │   │   │   │       │   ├── 2fd8150145e5e4c7.xci
│   │   │   │   │       │   ├── clk_wiz_0.dcp
│   │   │   │   │       │   ├── clk_wiz_0_sim_netlist.v
│   │   │   │   │       │   ├── clk_wiz_0_sim_netlist.vhdl
│   │   │   │   │       │   ├── clk_wiz_0_stub.v
│   │   │   │   │       │   ├── clk_wiz_0_stub.vhdl
│   │   │   │   │       │   └── stats.txt
│   │   │   │   │       └── 2fd8150145e5e4c7.logs
│   │   │   │   │           └── runme.log
│   │   │   │   └── wt
│   │   │   │       ├── gui_handlers.wdf
│   │   │   │       ├── java_command_handlers.wdf
│   │   │   │       ├── project.wpc
│   │   │   │       ├── synthesis.wdf
│   │   │   │       ├── synthesis_details.wdf
│   │   │   │       └── webtalk_pa.xml
│   │   │   ├── localbus_demo.hw
│   │   │   │   ├── hw_1
│   │   │   │   │   ├── hw.xml
│   │   │   │   │   ├── layout
│   │   │   │   │   └── wave
│   │   │   │   └── localbus_demo.lpr
│   │   │   ├── localbus_demo.ip_user_files
│   │   │   │   ├── README.txt
│   │   │   │   ├── ip
│   │   │   │   │   └── clk_wiz_0
│   │   │   │   │       ├── clk_wiz_0.veo
│   │   │   │   │       ├── clk_wiz_0_stub.v
│   │   │   │   │       └── clk_wiz_0_stub.vhdl
│   │   │   │   ├── ipstatic
│   │   │   │   │   ├── mmcm_pll_drp_func_7s_mmcm.vh
│   │   │   │   │   ├── mmcm_pll_drp_func_7s_pll.vh
│   │   │   │   │   ├── mmcm_pll_drp_func_us_mmcm.vh
│   │   │   │   │   ├── mmcm_pll_drp_func_us_pll.vh
│   │   │   │   │   ├── mmcm_pll_drp_func_us_plus_mmcm.vh
│   │   │   │   │   └── mmcm_pll_drp_func_us_plus_pll.vh
│   │   │   │   └── sim_scripts
│   │   │   │       └── clk_wiz_0
│   │   │   │           ├── README.txt
│   │   │   │           ├── activehdl
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── clk_wiz_0.sh
│   │   │   │           │   ├── clk_wiz_0.udo
│   │   │   │           │   ├── compile.do
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   ├── simulate.do
│   │   │   │           │   └── wave.do
│   │   │   │           ├── ies
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── clk_wiz_0.sh
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   └── run.f
│   │   │   │           ├── modelsim
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── clk_wiz_0.sh
│   │   │   │           │   ├── clk_wiz_0.udo
│   │   │   │           │   ├── compile.do
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   ├── simulate.do
│   │   │   │           │   └── wave.do
│   │   │   │           ├── questa
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── clk_wiz_0.sh
│   │   │   │           │   ├── clk_wiz_0.udo
│   │   │   │           │   ├── compile.do
│   │   │   │           │   ├── elaborate.do
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   ├── simulate.do
│   │   │   │           │   └── wave.do
│   │   │   │           ├── riviera
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── clk_wiz_0.sh
│   │   │   │           │   ├── clk_wiz_0.udo
│   │   │   │           │   ├── compile.do
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   ├── simulate.do
│   │   │   │           │   └── wave.do
│   │   │   │           ├── vcs
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── clk_wiz_0.sh
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   └── simulate.do
│   │   │   │           ├── xcelium
│   │   │   │           │   ├── README.txt
│   │   │   │           │   ├── clk_wiz_0.sh
│   │   │   │           │   ├── file_info.txt
│   │   │   │           │   ├── glbl.v
│   │   │   │           │   └── run.f
│   │   │   │           └── xsim
│   │   │   │               ├── README.txt
│   │   │   │               ├── clk_wiz_0.sh
│   │   │   │               ├── cmd.tcl
│   │   │   │               ├── elab.opt
│   │   │   │               ├── file_info.txt
│   │   │   │               ├── glbl.v
│   │   │   │               ├── vlog.prj
│   │   │   │               └── xsim.ini
│   │   │   ├── localbus_demo.runs
│   │   │   │   ├── clk_wiz_0_synth_1
│   │   │   │   │   ├── ISEWrap.js
│   │   │   │   │   ├── ISEWrap.sh
│   │   │   │   │   ├── __synthesis_is_complete__
│   │   │   │   │   ├── clk_wiz_0.dcp
│   │   │   │   │   ├── clk_wiz_0.tcl
│   │   │   │   │   ├── clk_wiz_0.vds
│   │   │   │   │   ├── clk_wiz_0_utilization_synth.pb
│   │   │   │   │   ├── clk_wiz_0_utilization_synth.rpt
│   │   │   │   │   ├── dont_touch.xdc
│   │   │   │   │   ├── gen_run.xml
│   │   │   │   │   ├── htr.txt
│   │   │   │   │   ├── project.wdf
│   │   │   │   │   ├── rundef.js
│   │   │   │   │   ├── runme.bat
│   │   │   │   │   ├── runme.log
│   │   │   │   │   ├── runme.sh
│   │   │   │   │   ├── vivado.jou
│   │   │   │   │   └── vivado.pb
│   │   │   │   ├── impl_1
│   │   │   │   │   ├── ISEWrap.js
│   │   │   │   │   ├── ISEWrap.sh
│   │   │   │   │   ├── gen_run.xml
│   │   │   │   │   ├── htr.txt
│   │   │   │   │   ├── init_design.pb
│   │   │   │   │   ├── opt_design.pb
│   │   │   │   │   ├── place_design.pb
│   │   │   │   │   ├── project.wdf
│   │   │   │   │   ├── route_design.pb
│   │   │   │   │   ├── rundef.js
│   │   │   │   │   ├── runme.bat
│   │   │   │   │   ├── runme.log
│   │   │   │   │   ├── runme.sh
│   │   │   │   │   ├── t160_top.bit
│   │   │   │   │   ├── t160_top.tcl
│   │   │   │   │   ├── t160_top.vdi
│   │   │   │   │   ├── t160_top_bus_skew_routed.pb
│   │   │   │   │   ├── t160_top_bus_skew_routed.rpt
│   │   │   │   │   ├── t160_top_bus_skew_routed.rpx
│   │   │   │   │   ├── t160_top_clock_utilization_routed.rpt
│   │   │   │   │   ├── t160_top_control_sets_placed.rpt
│   │   │   │   │   ├── t160_top_drc_opted.pb
│   │   │   │   │   ├── t160_top_drc_opted.rpt
│   │   │   │   │   ├── t160_top_drc_opted.rpx
│   │   │   │   │   ├── t160_top_drc_routed.pb
│   │   │   │   │   ├── t160_top_drc_routed.rpt
│   │   │   │   │   ├── t160_top_drc_routed.rpx
│   │   │   │   │   ├── t160_top_io_placed.rpt
│   │   │   │   │   ├── t160_top_methodology_drc_routed.pb
│   │   │   │   │   ├── t160_top_methodology_drc_routed.rpt
│   │   │   │   │   ├── t160_top_methodology_drc_routed.rpx
│   │   │   │   │   ├── t160_top_opt.dcp
│   │   │   │   │   ├── t160_top_placed.dcp
│   │   │   │   │   ├── t160_top_power_routed.rpt
│   │   │   │   │   ├── t160_top_power_routed.rpx
│   │   │   │   │   ├── t160_top_power_summary_routed.pb
│   │   │   │   │   ├── t160_top_route_status.pb
│   │   │   │   │   ├── t160_top_route_status.rpt
│   │   │   │   │   ├── t160_top_routed.dcp
│   │   │   │   │   ├── t160_top_timing_summary_routed.pb
│   │   │   │   │   ├── t160_top_timing_summary_routed.rpt
│   │   │   │   │   ├── t160_top_timing_summary_routed.rpx
│   │   │   │   │   ├── t160_top_utilization_placed.pb
│   │   │   │   │   ├── t160_top_utilization_placed.rpt
│   │   │   │   │   ├── usage_statistics_webtalk.html
│   │   │   │   │   ├── usage_statistics_webtalk.xml
│   │   │   │   │   ├── vivado.jou
│   │   │   │   │   ├── vivado.pb
│   │   │   │   │   └── write_bitstream.pb
│   │   │   │   └── synth_1
│   │   │   │       ├── ISEWrap.js
│   │   │   │       ├── ISEWrap.sh
│   │   │   │       ├── __synthesis_is_complete__
│   │   │   │       ├── gen_run.xml
│   │   │   │       ├── htr.txt
│   │   │   │       ├── planAhead.ngc2edif.log
│   │   │   │       ├── rundef.js
│   │   │   │       ├── runme.bat
│   │   │   │       ├── runme.log
│   │   │   │       ├── runme.sh
│   │   │   │       ├── t160_top.dcp
│   │   │   │       ├── t160_top.tcl
│   │   │   │       ├── t160_top.vds
│   │   │   │       ├── t160_top_utilization_synth.pb
│   │   │   │       ├── t160_top_utilization_synth.rpt
│   │   │   │       ├── vivado.jou
│   │   │   │       └── vivado.pb
│   │   │   ├── localbus_demo.sim
│   │   │   ├── localbus_demo.srcs
│   │   │   │   └── sources_1
│   │   │   │       └── ip
│   │   │   │           └── clk_wiz_0
│   │   │   │               ├── clk_wiz_0.dcp
│   │   │   │               ├── clk_wiz_0.v
│   │   │   │               ├── clk_wiz_0.veo
│   │   │   │               ├── clk_wiz_0.xci
│   │   │   │               ├── clk_wiz_0.xdc
│   │   │   │               ├── clk_wiz_0.xml
│   │   │   │               ├── clk_wiz_0_board.xdc
│   │   │   │               ├── clk_wiz_0_clk_wiz.v
│   │   │   │               ├── clk_wiz_0_ooc.xdc
│   │   │   │               ├── clk_wiz_0_sim_netlist.v
│   │   │   │               ├── clk_wiz_0_sim_netlist.vhdl
│   │   │   │               ├── clk_wiz_0_stub.v
│   │   │   │               ├── clk_wiz_0_stub.vhdl
│   │   │   │               ├── doc
│   │   │   │               │   └── clk_wiz_v6_0_changelog.txt
│   │   │   │               ├── mmcm_pll_drp_func_7s_mmcm.vh
│   │   │   │               ├── mmcm_pll_drp_func_7s_pll.vh
│   │   │   │               ├── mmcm_pll_drp_func_us_mmcm.vh
│   │   │   │               ├── mmcm_pll_drp_func_us_pll.vh
│   │   │   │               ├── mmcm_pll_drp_func_us_plus_mmcm.vh
│   │   │   │               └── mmcm_pll_drp_func_us_plus_pll.vh
│   │   │   └── localbus_demo.xpr
│   │   └── src
│   │       ├── localbus_register.v
│   │       ├── t160_top.v
│   │       └── t160_top.xdc
│   ├── localbus_ip
│   │   ├── xjtag_bus.ngc
│   │   └── xjtag_bus.v
│   ├── vs2010_axi_bus
│   │   ├── Debug
│   │   ├── ReadMe.txt
│   │   ├── Release
│   │   ├── ipch
│   │   │   └── vs2010_axi_bus-85890a65
│   │   │       ├── vs2010_axi_bus-beb91680.ipch
│   │   │       └── vs2010_axi_bus-fe444242.ipch
│   │   ├── stdafx.cpp
│   │   ├── stdafx.h
│   │   ├── targetver.h
│   │   ├── vs2010_axi_bus.cpp
│   │   ├── vs2010_axi_bus.sdf
│   │   ├── vs2010_axi_bus.sln
│   │   ├── vs2010_axi_bus.suo
│   │   ├── vs2010_axi_bus.vcxproj
│   │   ├── vs2010_axi_bus.vcxproj.filters
│   │   ├── vs2010_axi_bus.vcxproj.user
│   │   └── x64
│   │       ├── Debug
│   │       └── Release
│   └── vs2010_localbus
│       ├── Debug
│       ├── ReadMe.txt
│       ├── Release
│       ├── ipch
│       │   ├── VS2010_AXI_BUS-80db8d80
│       │   │   └── VS2010_LOCALBUS-8f3d13ca.ipch
│       │   └── vs2010_axi_bus-461189e7
│       │       └── vs2010_localbus-5e2ec62a.ipch
│       ├── stdafx.cpp
│       ├── stdafx.h
│       ├── targetver.h
│       ├── vs2010_axi_bus.vcxproj
│       ├── vs2010_axi_bus.vcxproj.filters
│       ├── vs2010_axi_bus.vcxproj.user
│       ├── vs2010_localbus.cpp
│       ├── vs2010_localbus.sdf
│       ├── vs2010_localbus.sln
│       ├── vs2010_localbus.suo
│       └── x64
│           ├── Debug
│           │   ├── stdafx.obj
│           │   ├── vc140.idb
│           │   ├── vc140.pdb
│           │   ├── vc142.idb
│           │   ├── vc142.pdb
│           │   ├── vs2010_axi_bus.log
│           │   ├── vs2010_axi_bus.vcxproj.FileListAbsolute.txt
│           │   ├── vs2010_localbus.Build.CppClean.log
│           │   ├── vs2010_localbus.exe
│           │   ├── vs2010_localbus.exe.recipe
│           │   ├── vs2010_localbus.obj
│           │   ├── vs2010_localbus.pch
│           │   ├── vs2010_localbus.pdb
│           │   ├── vs2010_localbus.tlog
│           │   │   ├── CL.command.1.tlog
│           │   │   ├── CL.read.1.tlog
│           │   │   ├── CL.write.1.tlog
│           │   │   ├── link.command.1.tlog
│           │   │   ├── link.read.1.tlog
│           │   │   ├── link.write.1.tlog
│           │   │   └── vs2010_localbus.lastbuildstate
│           │   └── xjtag.dll
│           └── Release
└── 好例子网_xjtag.7z

165 directories, 536 files


标签: 开发包 sdk sd 开发

实例下载地址

xJTAG的SDK开发包

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警