实例介绍
本测试程序实现的功能是:通过 SRIO 进行回环方式的数据传输。
【实例截图】
【核心代码】
49a7a736-6725-4328-b815-30bf1d2cda13
└── FPGA_SRIO
├── BIT_BIN
│ ├── srio_example_top.bin
│ └── srio_example_top.bit
├── FPGA_SRIO
│ ├── ip
│ │ └── srio_gen2_0
│ │ ├── blk_mem_gen_v8_2
│ │ │ ├── hdl
│ │ │ │ ├── blk_mem_gen_v8_2.vhd
│ │ │ │ └── blk_mem_gen_v8_2_vhsyn_rfs.vhd
│ │ │ └── simulation
│ │ │ └── blk_mem_gen_v8_2.v
│ │ ├── doc
│ │ │ └── srio_gen2_v4_0_changelog.txt
│ │ ├── fifo_generator_v12_0
│ │ │ ├── hdl
│ │ │ │ ├── fifo_generator_v12_0_rfs.v
│ │ │ │ ├── fifo_generator_v12_0_rfs.vhd
│ │ │ │ ├── fifo_generator_v12_0.vhd
│ │ │ │ └── fifo_generator_v12_0_vhsyn_rfs.vhd
│ │ │ └── simulation
│ │ │ └── fifo_generator_vlog_beh.v
│ │ ├── srio_gen2_0_core.xdc
│ │ ├── srio_gen2_0.dcp
│ │ ├── srio_gen2_0_funcsim.v
│ │ ├── srio_gen2_0_funcsim.vhdl
│ │ ├── srio_gen2_0_stub.v
│ │ ├── srio_gen2_0_stub.vhdl
│ │ ├── srio_gen2_0.upgrade_log
│ │ ├── srio_gen2_0.veo
│ │ ├── srio_gen2_0.xci
│ │ ├── srio_gen2_0.xml
│ │ ├── srio_gen2_v4_0
│ │ │ └── hdl
│ │ │ ├── srio_gen2_v4_0_rfs.v
│ │ │ └── srio_gen2_v4_0_rfs.vhd
│ │ └── synth
│ │ ├── cfg_fabric_srio_gen2_0.v
│ │ ├── gt_wrapper_srio_gen2_0.v
│ │ ├── srio_gen2_0_a7_gtpe2_common.v
│ │ ├── srio_gen2_0_block.v
│ │ ├── srio_gen2_0_gtpe2_gtrxreset_seq.v
│ │ ├── srio_gen2_0_gtpe2_init.v
│ │ ├── srio_gen2_0_gtpe2_rxpmarst_seq.v
│ │ ├── srio_gen2_0_gtpe2_rx_startup_fsm.v
│ │ ├── srio_gen2_0_gtpe2_sync_block.v
│ │ ├── srio_gen2_0_gtpe2_tx_startup_fsm.v
│ │ ├── srio_gen2_0_gtp_GT.v
│ │ ├── srio_gen2_0_gtp_multi_gt.v
│ │ ├── srio_gen2_0_ooc.xdc
│ │ ├── srio_gen2_0_srio_clk.v
│ │ ├── srio_gen2_0_srio_rst.v
│ │ ├── srio_gen2_0_support.v
│ │ ├── srio_gen2_0.v
│ │ ├── srio_gt_wrapper_srio_gen2_0_a7_1x.v
│ │ └── srio_gt_wrapper_srio_gen2_0_a7_2x.v
│ ├── src
│ │ ├── srio_example_top.v
│ │ ├── srio_example_top.xdc
│ │ ├── srio_request_gen.v
│ │ └── srio_response_gen.v
│ └── srio
│ ├── srio.cache
│ │ ├── ip
│ │ │ └── c0b06cf09c4746d3
│ │ │ ├── c0b06cf09c4746d3.xci
│ │ │ └── dbg_hub_CV.dcp
│ │ └── wt
│ │ ├── java_command_handlers.wdf
│ │ ├── synthesis_details.wdf
│ │ ├── synthesis.wdf
│ │ ├── webtalk_pa.xml
│ │ └── xsim.wdf
│ ├── srio.hw
│ │ ├── hw_1
│ │ │ ├── hw.xml
│ │ │ ├── layout
│ │ │ │ ├── hw_ila_1.layout
│ │ │ │ └── hw_vios.layout
│ │ │ └── wave
│ │ │ └── hw_ila_data_1
│ │ │ ├── hw_ila_data_1.wcfg
│ │ │ └── hw_ila_data_1.wdb
│ │ ├── srio.lpr
│ │ └── webtalk
│ │ ├── labtool_webtalk.log
│ │ ├── usage_statistics_ext_labtool.html
│ │ └── usage_statistics_ext_labtool.xml
│ ├── srio.runs
│ │ ├── ila_0_synth_1
│ │ │ ├── gen_run.xml
│ │ │ ├── htr.txt
│ │ │ ├── ila_0.dcp
│ │ │ ├── ila_0.tcl
│ │ │ ├── ila_0_utilization_synth.pb
│ │ │ ├── ila_0_utilization_synth.rpt
│ │ │ ├── ila_0.vds
│ │ │ ├── ISEWrap.js
│ │ │ ├── ISEWrap.sh
│ │ │ ├── rundef.js
│ │ │ ├── runme.bat
│ │ │ ├── runme.log
│ │ │ ├── runme.sh
│ │ │ ├── vivado.jou
│ │ │ └── vivado.pb
│ │ ├── impl_1
│ │ │ ├── debug_nets.ltx
│ │ │ ├── gen_run.xml
│ │ │ ├── htr.txt
│ │ │ ├── init_design.pb
│ │ │ ├── ISEWrap.js
│ │ │ ├── ISEWrap.sh
│ │ │ ├── opt_design.pb
│ │ │ ├── place_design.pb
│ │ │ ├── project.wdf
│ │ │ ├── route_design.pb
│ │ │ ├── rundef.js
│ │ │ ├── runme.bat
│ │ │ ├── runme.log
│ │ │ ├── runme.sh
│ │ │ ├── srio_example_top_12328.backup.vdi
│ │ │ ├── srio_example_top_5028.backup.vdi
│ │ │ ├── srio_example_top.bin
│ │ │ ├── srio_example_top.bit
│ │ │ ├── srio_example_top_clock_utilization_routed.rpt
│ │ │ ├── srio_example_top_control_sets_placed.rpt
│ │ │ ├── srio_example_top_drc_opted.rpt
│ │ │ ├── srio_example_top_drc_routed.pb
│ │ │ ├── srio_example_top_drc_routed.rpt
│ │ │ ├── srio_example_top_io_placed.rpt
│ │ │ ├── srio_example_top_opt.dcp
│ │ │ ├── srio_example_top_placed.dcp
│ │ │ ├── srio_example_top_power_routed.rpt
│ │ │ ├── srio_example_top_power_summary_routed.pb
│ │ │ ├── srio_example_top_routed.dcp
│ │ │ ├── srio_example_top_route_status.pb
│ │ │ ├── srio_example_top_route_status.rpt
│ │ │ ├── srio_example_top.tcl
│ │ │ ├── srio_example_top_timing_summary_routed.rpt
│ │ │ ├── srio_example_top_timing_summary_routed.rpx
│ │ │ ├── srio_example_top_utilization_placed.pb
│ │ │ ├── srio_example_top_utilization_placed.rpt
│ │ │ ├── srio_example_top.vdi
│ │ │ ├── vivado_12328.backup.jou
│ │ │ ├── vivado_13332.backup.jou
│ │ │ ├── vivado_14152.backup.jou
│ │ │ ├── vivado_1816.backup.jou
│ │ │ ├── vivado_5028.backup.jou
│ │ │ ├── vivado.jou
│ │ │ ├── vivado.pb
│ │ │ └── write_bitstream.pb
│ │ ├── srio_gen2_0_synth_1
│ │ │ ├── fsm_encoding.os
│ │ │ ├── gen_run.xml
│ │ │ ├── htr.txt
│ │ │ ├── ISEWrap.js
│ │ │ ├── ISEWrap.sh
│ │ │ ├── project.wdf
│ │ │ ├── rundef.js
│ │ │ ├── runme.bat
│ │ │ ├── runme.log
│ │ │ ├── runme.sh
│ │ │ ├── srio_gen2_0.dcp
│ │ │ ├── srio_gen2_0.tcl
│ │ │ ├── srio_gen2_0_utilization_synth.pb
│ │ │ ├── srio_gen2_0_utilization_synth.rpt
│ │ │ ├── srio_gen2_0.vds
│ │ │ ├── vivado.jou
│ │ │ └── vivado.pb
│ │ ├── synth_1
│ │ │ ├── gen_run.xml
│ │ │ ├── htr.txt
│ │ │ ├── ISEWrap.js
│ │ │ ├── ISEWrap.sh
│ │ │ ├── rundef.js
│ │ │ ├── runme.bat
│ │ │ ├── runme.log
│ │ │ ├── runme.sh
│ │ │ ├── srio_example_top.dcp
│ │ │ ├── srio_example_top.tcl
│ │ │ ├── srio_example_top_utilization_synth.pb
│ │ │ ├── srio_example_top_utilization_synth.rpt
│ │ │ ├── srio_example_top.vds
│ │ │ ├── vivado.jou
│ │ │ └── vivado.pb
│ │ └── vio_0_synth_1
│ │ ├── gen_run.xml
│ │ ├── htr.txt
│ │ ├── ISEWrap.js
│ │ ├── ISEWrap.sh
│ │ ├── rundef.js
│ │ ├── runme.bat
│ │ ├── runme.log
│ │ ├── runme.sh
│ │ ├── vio_0.dcp
│ │ ├── vio_0.tcl
│ │ ├── vio_0_utilization_synth.pb
│ │ ├── vio_0_utilization_synth.rpt
│ │ ├── vio_0.vds
│ │ ├── vivado.jou
│ │ └── vivado.pb
│ ├── srio.sim
│ │ └── sim_1
│ │ └── behav
│ │ ├── compile.bat
│ │ ├── compile.log
│ │ ├── elaborate.bat
│ │ ├── elaborate.log
│ │ ├── glbl.v
│ │ ├── simulate.bat
│ │ ├── simulate.log
│ │ ├── tb_behav.wdb
│ │ ├── tb.tcl
│ │ ├── tb_vhdl.prj
│ │ ├── tb_vlog.prj
│ │ ├── webtalk_18624.backup.jou
│ │ ├── webtalk_18624.backup.log
│ │ ├── webtalk.jou
│ │ ├── webtalk.log
│ │ ├── xelab.pb
│ │ ├── xsim.dir
│ │ │ ├── blk_mem_gen_v8_2
│ │ │ │ ├── beh_vlog_ff_ce_clr_v8_2.sdb
│ │ │ │ ├── beh_vlog_ff_clr_v8_2.sdb
│ │ │ │ ├── beh_vlog_ff_pre_v8_2.sdb
│ │ │ │ ├── beh_vlog_muxf7_v8_2.sdb
│ │ │ │ ├── blk_mem_axi_read_wrapper_beh_v8_2.sdb
│ │ │ │ ├── blk_mem_axi_regs_fwd_v8_2.sdb
│ │ │ │ ├── blk_mem_axi_write_wrapper_beh_v8_2.sdb
│ │ │ │ ├── @b@l@k_@m@e@m_@g@e@n_v8_2_mem_module.sdb
│ │ │ │ ├── @b@l@k_@m@e@m_@g@e@n_v8_2_output_stage.sdb
│ │ │ │ ├── blk_mem_gen_v8_2.sdb
│ │ │ │ ├── @b@l@k_@m@e@m_@g@e@n_v8_2_softecc_output_reg_stage.sdb
│ │ │ │ ├── read_netlist_v8_2.sdb
│ │ │ │ ├── @s@t@a@t@e_@l@o@g@i@c_v8_2.sdb
│ │ │ │ └── write_netlist_v8_2.sdb
│ │ │ ├── fifo_generator_v12_0
│ │ │ │ ├── axi_reg_slice.vdb
│ │ │ │ ├── bin_cntr.vdb
│ │ │ │ ├── bram_fifo_rstlogic.vdb
│ │ │ │ ├── bram_sync_reg.vdb
│ │ │ │ ├── builtin_extdepth_low_latency.vdb
│ │ │ │ ├── builtin_extdepth_v6.vdb
│ │ │ │ ├── builtin_extdepth.vdb
│ │ │ │ ├── builtin_prim_v6.vdb
│ │ │ │ ├── builtin_prim.vdb
│ │ │ │ ├── builtin_top_v6.vdb
│ │ │ │ ├── builtin_top.vdb
│ │ │ │ ├── clk_x_pntrs_builtin.vdb
│ │ │ │ ├── delay.vdb
│ │ │ │ ├── fifo_generator_top.vdb
│ │ │ │ ├── fifo_generator_v12_0_axic_reg_slice.sdb
│ │ │ │ ├── fifo_generator_v12_0_beh_ver_ll_afifo.sdb
│ │ │ │ ├── fifo_generator_v12_0_bhv_ver_as.sdb
│ │ │ │ ├── fifo_generator_v12_0_bhv_ver_preload0.sdb
│ │ │ │ ├── fifo_generator_v12_0_bhv_ver_ss.sdb
│ │ │ │ ├── fifo_generator_v12_0_builtin.vdb
│ │ │ │ ├── @f@i@f@o_@g@e@n@e@r@a@t@o@r_v12_0_@c@o@n@v_@v@e@r.sdb
│ │ │ │ ├── fifo_generator_v12_0_pkg.vdb
│ │ │ │ ├── fifo_generator_v12_0.sdb
│ │ │ │ ├── fifo_generator_v12_0_sync_stage.sdb
│ │ │ │ ├── fifo_generator_v12_0_synth.vdb
│ │ │ │ ├── fifo_generator_vlog_beh.sdb
│ │ │ │ ├── input_blk.vdb
│ │ │ │ ├── logic_builtin.vdb
│ │ │ │ ├── output_blk.vdb
│ │ │ │ ├── rd_pe_as.vdb
│ │ │ │ ├── rd_pe_ss.vdb
│ │ │ │ ├── reset_blk_ramfifo.vdb
│ │ │ │ ├── reset_builtin.vdb
│ │ │ │ ├── shft_ram.vdb
│ │ │ │ ├── shft_wrapper.vdb
│ │ │ │ ├── synchronizer_ff.vdb
│ │ │ │ ├── wr_pf_as.vdb
│ │ │ │ └── wr_pf_ss.vdb
│ │ │ ├── srio_gen2_v4_0
│ │ │ │ ├── cfg_fabric_srio_gen2_0.sdb
│ │ │ │ ├── gt_wrapper_srio_gen2_0.sdb
│ │ │ │ ├── srio_gen2_0_a7_gtpe2_common.sdb
│ │ │ │ ├── srio_gen2_0_block.sdb
│ │ │ │ ├── srio_gen2_0_gtrxreset_seq.sdb
│ │ │ │ ├── srio_gen2_0_@g@t.sdb
│ │ │ │ ├── srio_gen2_0_init.sdb
│ │ │ │ ├── srio_gen2_0_multi_gt.sdb
│ │ │ │ ├── srio_gen2_0_rxpmarst_seq.sdb
│ │ │ │ ├── srio_gen2_0_@r@x_@s@t@a@r@t@u@p_@f@s@m.sdb
│ │ │ │ ├── srio_gen2_0_srio_clk.sdb
│ │ │ │ ├── srio_gen2_0_srio_rst.sdb
│ │ │ │ ├── srio_gen2_0_support.sdb
│ │ │ │ ├── srio_gen2_0_sync_block.sdb
│ │ │ │ ├── srio_gen2_0_@t@x_@s@t@a@r@t@u@p_@f@s@m.sdb
│ │ │ │ ├── srio_gen2_v4_0_arb_rx_pipe.sdb
│ │ │ │ ├── srio_gen2_v4_0_arb_rx.sdb
│ │ │ │ ├── srio_gen2_v4_0_arb_tx_mux.sdb
│ │ │ │ ├── srio_gen2_v4_0_arb_tx.sdb
│ │ │ │ ├── srio_gen2_v4_0_arb_tx_user_if.sdb
│ │ │ │ ├── srio_gen2_v4_0_buf_cfg_reg.sdb
│ │ │ │ ├── srio_gen2_v4_0_buf_cfg_top.sdb
│ │ │ │ ├── srio_gen2_v4_0_buf_rx_async_passage.sdb
│ │ │ │ ├── srio_gen2_v4_0_buf_rx_bram_bank.sdb
│ │ │ │ ├── srio_gen2_v4_0_buf_rx.sdb
│ │ │ │ ├── srio_gen2_v4_0_buf_top.sdb
│ │ │ │ ├── srio_gen2_v4_0_buf_tx_bram_bank.sdb
│ │ │ │ ├── srio_gen2_v4_0_buf_tx.sdb
│ │ │ │ ├── srio_gen2_v4_0_buf_tx_sync_unit.sdb
│ │ │ │ ├── srio_gen2_v4_0_buf.vdb
│ │ │ │ ├── srio_gen2_v4_0_cfg_axi.sdb
│ │ │ │ ├── srio_gen2_v4_0_crc13_35.sdb
│ │ │ │ ├── srio_gen2_v4_0_crc16_16.sdb
│ │ │ │ ├── srio_gen2_v4_0_crc16_32.sdb
│ │ │ │ ├── srio_gen2_v4_0_crc16_48.sdb
│ │ │ │ ├── srio_gen2_v4_0_crc16_64.sdb
│ │ │ │ ├── srio_gen2_v4_0_crc5_20.sdb
│ │ │ │ ├── srio_gen2_v4_0_eval_gt_pcs_clk.sdb
│ │ │ │ ├── srio_gen2_v4_0_eval_log_clk.sdb
│ │ │ │ ├── srio_gen2_v4_0_eval.sdb
│ │ │ │ ├── srio_gen2_v4_0_hello_decoder.sdb
│ │ │ │ ├── srio_gen2_v4_0_hello_encoder.sdb
│ │ │ │ ├── srio_gen2_v4_0_log_cfg_reg.sdb
│ │ │ │ ├── srio_gen2_v4_0_log_cfg_top.sdb
│ │ │ │ ├── srio_gen2_v4_0_log_maint.sdb
│ │ │ │ ├── srio_gen2_v4_0_log_top.sdb
│ │ │ │ ├── srio_gen2_v4_0_log.vdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_rx_cs_decode.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_rx_datapath.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_rx_err_detect.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_rx_top.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_tx_buf.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_tx_cs_gen.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_tx_data_mux.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_tx_oplm.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_tx_pkt_assembly.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_tx_pkt_stack.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_tx_ready_gen.sdb
│ │ │ │ ├── srio_gen2_v4_0_ollm_tx_top.sdb
│ │ │ │ ├── srio_gen2_v4_0_oplm_gtregisters.sdb
│ │ │ │ ├── srio_gen2_v4_0_oplm_init.sdb
│ │ │ │ ├── srio_gen2_v4_0_oplm_lfsr.sdb
│ │ │ │ ├── srio_gen2_v4_0_oplm_rx.sdb
│ │ │ │ ├── srio_gen2_v4_0_oplm_top.sdb
│ │ │ │ ├── srio_gen2_v4_0_oplm_tx.sdb
│ │ │ │ ├── srio_gen2_v4_0_phy_cfg_reg.sdb
│ │ │ │ ├── srio_gen2_v4_0_phy_cfg_top.sdb
│ │ │ │ ├── srio_gen2_v4_0_phy_top.sdb
│ │ │ │ ├── srio_gen2_v4_0_phy.vdb
│ │ │ │ ├── srio_gen2_v4_0_unifiedtop.vdb
│ │ │ │ └── srio_gt_wrapper_srio_gen2_0_a7_1x.sdb
│ │ │ ├── tb_behav
│ │ │ │ ├── Compile_Options.txt
│ │ │ │ ├── webtalk
│ │ │ │ │ ├── usage_statistics_ext_xsim.html
│ │ │ │ │ └── usage_statistics_ext_xsim.xml
│ │ │ │ ├── xsimcrash.log
│ │ │ │ ├── xsim.dbg
│ │ │ │ ├── xsimkernel.log
│ │ │ │ ├── xsimk.exe
│ │ │ │ ├── xsim.mem
│ │ │ │ ├── xsim.reloc
│ │ │ │ ├── xsim.rtti
│ │ │ │ ├── xsim.svtype
│ │ │ │ ├── xsim.type
│ │ │ │ └── xsim.xdbg
│ │ │ ├── xil_defaultlib
│ │ │ │ ├── glbl.sdb
│ │ │ │ ├── srio_example_top.sdb
│ │ │ │ ├── srio_gen2_0.sdb
│ │ │ │ ├── srio_request_gen.sdb
│ │ │ │ ├── srio_response_gen.sdb
│ │ │ │ └── tb.sdb
│ │ │ └── xsim.svtype
│ │ ├── xvhdl.pb
│ │ └── xvlog.pb
│ ├── srio.srcs
│ │ ├── sim_1
│ │ │ └── new
│ │ │ └── tb.v
│ │ └── sources_1
│ │ └── ip
│ │ ├── ila_0
│ │ │ ├── blk_mem_gen_v8_2
│ │ │ │ └── hdl
│ │ │ │ ├── blk_mem_gen_v8_2.vhd
│ │ │ │ └── blk_mem_gen_v8_2_vhsyn_rfs.vhd
│ │ │ ├── doc
│ │ │ │ └── ila_v5_1_changelog.txt
│ │ │ ├── ila_0.dcp
│ │ │ ├── ila_0_funcsim.v
│ │ │ ├── ila_0_funcsim.vhdl
│ │ │ ├── ila_0_ooc.xdc
│ │ │ ├── ila_0_stub.v
│ │ │ ├── ila_0_stub.vhdl
│ │ │ ├── ila_0.upgrade_log
│ │ │ ├── ila_0.veo
│ │ │ ├── ila_0.xci
│ │ │ ├── ila_0.xml
│ │ │ ├── ila_v5_1
│ │ │ │ ├── constraints
│ │ │ │ │ └── ila.xdc
│ │ │ │ └── hdl
│ │ │ │ ├── ila_v5_1_syn_rfs.v
│ │ │ │ └── verilog
│ │ │ │ ├── ila_v5_1_ila_in_ports_inc.v
│ │ │ │ ├── ila_v5_1_ila_lib_function.v
│ │ │ │ ├── ila_v5_1_ila_localparam_inc.v
│ │ │ │ ├── ila_v5_1_ila_param_inc.v
│ │ │ │ └── ila_v5_1_ila_ver_inc.v
│ │ │ ├── ltlib_v1_0
│ │ │ │ └── hdl
│ │ │ │ ├── ltlib_v1_0_vl_rfs.v
│ │ │ │ └── verilog
│ │ │ │ ├── ltlib_v1_0_lib_function.v
│ │ │ │ └── ltlib_v1_0_ver_inc.v
│ │ │ ├── sim
│ │ │ │ └── ila_0.v
│ │ │ ├── synth
│ │ │ │ └── ila_0.v
│ │ │ └── xsdbs_v1_0
│ │ │ └── hdl
│ │ │ ├── verilog
│ │ │ │ ├── xsdbs_v1_0_icon2xsdb_inc.v
│ │ │ │ └── xsdbs_v1_0_inc.v
│ │ │ └── xsdbs_v1_0_vl_rfs.v
│ │ └── vio_0
│ │ ├── doc
│ │ │ └── vio_v3_0_changelog.txt
│ │ ├── ltlib_v1_0
│ │ │ └── hdl
│ │ │ ├── ltlib_v1_0_vl_rfs.v
│ │ │ └── verilog
│ │ │ ├── ltlib_v1_0_lib_function.v
│ │ │ └── ltlib_v1_0_ver_inc.v
│ │ ├── sim
│ │ │ └── vio_0.v
│ │ ├── synth
│ │ │ └── vio_0.v
│ │ ├── vio_0.dcp
│ │ ├── vio_0_funcsim.v
│ │ ├── vio_0_funcsim.vhdl
│ │ ├── vio_0_ooc.xdc
│ │ ├── vio_0_stub.v
│ │ ├── vio_0_stub.vhdl
│ │ ├── vio_0.upgrade_log
│ │ ├── vio_0.veo
│ │ ├── vio_0.xci
│ │ ├── vio_0.xdc
│ │ ├── vio_0.xml
│ │ ├── vio_v3_0
│ │ │ └── hdl
│ │ │ ├── vio_v3_0_syn_rfs.v
│ │ │ └── vio_v3_0_vio_include.v
│ │ └── xsdbs_v1_0
│ │ └── hdl
│ │ ├── verilog
│ │ │ ├── xsdbs_v1_0_icon2xsdb_inc.v
│ │ │ └── xsdbs_v1_0_inc.v
│ │ └── xsdbs_v1_0_vl_rfs.v
│ └── srio.xpr
├── SRIO案例操作指引.docx
└── 烧写文件
└── srio_example_top.bit
77 directories, 384 files
标签:
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论