在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 基于FPGA的DDS信号发生器设计

基于FPGA的DDS信号发生器设计

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:5.54M
  • 下载次数:14
  • 浏览次数:83
  • 发布时间:2020-11-07
  • 实例类别:一般编程问题
  • 发 布 人:robot666
  • 文件格式:.rar
  • 所需积分:2
 

实例介绍

【实例简介】
基于xilinx公司的FPGA,设计了一套DDS信号发生器,产生正弦波 方波 三角波 锯齿波四种波形,并且波形频率可调
【实例截图】
【核心代码】
DDS
└── WAVE1
├── add.vhd
├── arwz.log
├── CNT10_isim_beh.exe
├── CNT10.prj
├── CNT10.stx
├── CNT10_stx_beh.prj
├── CNT10.vhd
├── CNT10_vhdl.prj
├── CNT10.xst
├── fuse.log
├── fuseRelaunch.cmd
├── fuse.xmsgs
├── impact_impact.xwbt
├── impact.xsl
├── ipcore_dir
│   ├── blk_mem_gen_ds512.pdf
│   ├── blk_mem_gen_v6_3
│   │   ├── example_design
│   │   │   ├── blk_mem_gen_v6_3_top.ucf
│   │   │   ├── blk_mem_gen_v6_3_top.vhd
│   │   │   ├── blk_mem_gen_v6_3_top.xdc
│   │   │   └── bmg_wrapper.vhd
│   │   ├── implement
│   │   │   ├── implement.bat
│   │   │   ├── implement.sh
│   │   │   ├── planAhead_rdn.bat
│   │   │   ├── planAhead_rdn.sh
│   │   │   ├── planAhead_rdn.tcl
│   │   │   ├── xst.prj
│   │   │   └── xst.scr
│   │   └── simulation
│   │   ├── addr_gen.vhd
│   │   ├── bmg_stim_gen.vhd
│   │   ├── bmg_tb_pkg.vhd
│   │   ├── bmg_tb_synth.vhd
│   │   ├── bmg_tb_top.vhd
│   │   ├── checker.vhd
│   │   ├── data_gen.vhd
│   │   ├── functional
│   │   │   ├── isim_tcl_cmds.tcl
│   │   │   ├── simulate_isim.bat
│   │   │   ├── simulate_mti.do
│   │   │   ├── simulate_ncsim.sh
│   │   │   ├── wave_mti.do
│   │   │   └── wave_ncsim.sv
│   │   ├── random.vhd
│   │   └── timing
│   │   ├── isim_tcl_cmds.tcl
│   │   ├── simulate_isim.bat
│   │   ├── simulate_mti.do
│   │   ├── simulate_ncsim.sh
│   │   ├── wave_mti.do
│   │   └── wave_ncsim.sv
│   ├── blk_mem_gen_v6_3.asy
│   ├── blk_mem_gen_v6_3_flist.txt
│   ├── blk_mem_gen_v6_3.gise
│   ├── blk_mem_gen_v6_3.mif
│   ├── blk_mem_gen_v6_3.ngc
│   ├── blk_mem_gen_v6_3_readme.txt
│   ├── blk_mem_gen_v6_3.sym
│   ├── blk_mem_gen_v6_3.vhd
│   ├── blk_mem_gen_v6_3.vho
│   ├── blk_mem_gen_v6_3.xco
│   ├── blk_mem_gen_v6_3.xise
│   ├── blk_mem_gen_v6_3_xmdf.tcl
│   ├── coregen.cgp
│   ├── coregen.log
│   ├── create_RAM.tcl
│   ├── create_spi_clk.tcl
│   ├── create_wave.tcl
│   ├── edit_wave.tcl
│   ├── RAM
│   │   ├── example_design
│   │   │   ├── bmg_wrapper.vhd
│   │   │   ├── RAM_top.ucf
│   │   │   ├── RAM_top.vhd
│   │   │   └── RAM_top.xdc
│   │   ├── implement
│   │   │   ├── implement.bat
│   │   │   ├── implement.sh
│   │   │   ├── planAhead_rdn.bat
│   │   │   ├── planAhead_rdn.sh
│   │   │   ├── planAhead_rdn.tcl
│   │   │   ├── xst.prj
│   │   │   └── xst.scr
│   │   └── simulation
│   │   ├── addr_gen.vhd
│   │   ├── bmg_stim_gen.vhd
│   │   ├── bmg_tb_pkg.vhd
│   │   ├── bmg_tb_synth.vhd
│   │   ├── bmg_tb_top.vhd
│   │   ├── checker.vhd
│   │   ├── data_gen.vhd
│   │   ├── functional
│   │   │   ├── isim_tcl_cmds.tcl
│   │   │   ├── simulate_isim.bat
│   │   │   ├── simulate_mti.do
│   │   │   ├── simulate_ncsim.sh
│   │   │   ├── wave_mti.do
│   │   │   └── wave_ncsim.sv
│   │   ├── random.vhd
│   │   └── timing
│   │   ├── isim_tcl_cmds.tcl
│   │   ├── simulate_isim.bat
│   │   ├── simulate_mti.do
│   │   ├── simulate_ncsim.sh
│   │   ├── wave_mti.do
│   │   └── wave_ncsim.sv
│   ├── RAM.asy
│   ├── RAM_flist.txt
│   ├── RAM.gise
│   ├── RAM.ncf
│   ├── RAM.ngc
│   ├── RAM.sym
│   ├── RAM.vhd
│   ├── RAM.vho
│   ├── RAM.xco
│   ├── RAM.xise
│   ├── RAM_xmdf.tcl
│   ├── spi_clk_arwz.ucf
│   ├── spi_clk_flist.txt
│   ├── spi_clk.vhd
│   ├── spi_clk.xaw
│   ├── summary.log
│   ├── tmp
│   │   ├── _cg
│   │   │   └── _dbg
│   │   │   ├── xil_837.in
│   │   │   └── xil_837.out
│   │   └── _xmsgs
│   │   ├── pn_parser.xmsgs
│   │   └── xst.xmsgs
│   ├── wave
│   │   ├── example_design
│   │   │   ├── bmg_wrapper.vhd
│   │   │   ├── wave_top.ucf
│   │   │   ├── wave_top.vhd
│   │   │   └── wave_top.xdc
│   │   ├── implement
│   │   │   ├── implement.bat
│   │   │   ├── implement.sh
│   │   │   ├── planAhead_rdn.bat
│   │   │   ├── planAhead_rdn.sh
│   │   │   ├── planAhead_rdn.tcl
│   │   │   ├── xst.prj
│   │   │   └── xst.scr
│   │   └── simulation
│   │   ├── addr_gen.vhd
│   │   ├── bmg_stim_gen.vhd
│   │   ├── bmg_tb_pkg.vhd
│   │   ├── bmg_tb_synth.vhd
│   │   ├── bmg_tb_top.vhd
│   │   ├── functional
│   │   │   ├── isim_tcl_cmds.tcl
│   │   │   ├── simulate_isim.bat
│   │   │   ├── simulate_mti.do
│   │   │   ├── simulate_ncsim.sh
│   │   │   ├── wave_mti.do
│   │   │   └── wave_ncsim.sv
│   │   ├── random.vhd
│   │   └── timing
│   │   ├── isim_tcl_cmds.tcl
│   │   ├── simulate_isim.bat
│   │   ├── simulate_mti.do
│   │   ├── simulate_ncsim.sh
│   │   ├── wave_mti.do
│   │   └── wave_ncsim.sv
│   ├── wave.asy
│   ├── wave_flist.txt
│   ├── wave.gise
│   ├── wave.mif
│   ├── wave.ncf
│   ├── wave.ngc
│   ├── wave.sym
│   ├── wave.vhd
│   ├── wave.vho
│   ├── wave.xco
│   ├── wave.xise
│   ├── wave_xmdf.tcl
│   ├── xaw2vhdl.log
│   └── _xmsgs
│   ├── cg.xmsgs
│   └── pn_parser.xmsgs
├── iseconfig
│   ├── sinwave.xreport
│   ├── waveform.xreport
│   └── WAVE.projectmgr
├── isim
│   ├── CNT10_isim_beh.exe.sim
│   │   ├── CNT10_isim_beh.exe
│   │   ├── isimcrash.log
│   │   ├── ISimEngine-DesignHierarchy.dbg
│   │   ├── isimkernel.log
│   │   ├── libPortability.dll
│   │   ├── netId.dat
│   │   ├── tmp_save
│   │   │   └── _1
│   │   └── work
│   │   ├── a_2130179407_3212880686.c
│   │   ├── a_2130179407_3212880686.didat
│   │   ├── a_2130179407_3212880686.nt64.obj
│   │   ├── CNT10_isim_beh.exe_main.c
│   │   └── CNT10_isim_beh.exe_main.nt64.obj
│   ├── isim_usage_statistics.html
│   ├── pn_info
│   ├── precompiled.exe.sim
│   │   ├── ieee
│   │   │   ├── p_1242562249.c
│   │   │   ├── p_1242562249.didat
│   │   │   ├── p_1242562249.nt64.obj
│   │   │   ├── p_1367372525.c
│   │   │   ├── p_1367372525.didat
│   │   │   ├── p_1367372525.nt64.obj
│   │   │   ├── p_2592010699.c
│   │   │   ├── p_2592010699.didat
│   │   │   ├── p_2592010699.nt64.obj
│   │   │   ├── p_2717149903.c
│   │   │   ├── p_2717149903.didat
│   │   │   ├── p_2717149903.nt64.obj
│   │   │   ├── p_3499444699.c
│   │   │   ├── p_3499444699.didat
│   │   │   ├── p_3499444699.nt64.obj
│   │   │   ├── p_3564397177.c
│   │   │   ├── p_3564397177.didat
│   │   │   ├── p_3564397177.nt64.obj
│   │   │   ├── p_3620187407.c
│   │   │   ├── p_3620187407.didat
│   │   │   └── p_3620187407.nt64.obj
│   │   └── std
│   │   ├── textio.c
│   │   ├── textio.didat
│   │   └── textio.nt64.obj
│   ├── tb_wave_isim_beh.exe.sim
│   │   ├── isimcrash.log
│   │   ├── ISimEngine-DesignHierarchy.dbg
│   │   ├── isimkernel.log
│   │   ├── libPortability.dll
│   │   ├── netId.dat
│   │   ├── tb_wave_isim_beh.exe
│   │   ├── tmp_save
│   │   │   └── _1
│   │   ├── unisim
│   │   │   ├── a_0018426790_2768510774.c
│   │   │   ├── a_0018426790_2768510774.didat
│   │   │   ├── a_0018426790_2768510774.nt64.obj
│   │   │   ├── a_0714155612_2768510774.c
│   │   │   ├── a_0714155612_2768510774.didat
│   │   │   ├── a_0714155612_2768510774.nt64.obj
│   │   │   ├── a_0780662263_2014779070.c
│   │   │   ├── a_0780662263_2014779070.didat
│   │   │   ├── a_0780662263_2014779070.nt64.obj
│   │   │   ├── a_0850834979_2152628908.c
│   │   │   ├── a_0850834979_2152628908.didat
│   │   │   ├── a_0850834979_2152628908.nt64.obj
│   │   │   ├── a_1297477671_0429821216.c
│   │   │   ├── a_1297477671_0429821216.didat
│   │   │   ├── a_1297477671_0429821216.nt64.obj
│   │   │   ├── a_1490675510_1976025627.c
│   │   │   ├── a_1490675510_1976025627.didat
│   │   │   ├── a_1490675510_1976025627.nt64.obj
│   │   │   ├── a_2587132310_2584565154.c
│   │   │   ├── a_2587132310_2584565154.didat
│   │   │   ├── a_2587132310_2584565154.nt64.obj
│   │   │   ├── p_0947159679.c
│   │   │   ├── p_0947159679.didat
│   │   │   ├── p_0947159679.nt64.obj
│   │   │   ├── p_3222816464.c
│   │   │   ├── p_3222816464.didat
│   │   │   └── p_3222816464.nt64.obj
│   │   ├── work
│   │   │   ├── a_0549019542_3212880686.c
│   │   │   ├── a_0549019542_3212880686.didat
│   │   │   ├── a_0549019542_3212880686.nt64.obj
│   │   │   ├── a_1084285107_3212880686.c
│   │   │   ├── a_1084285107_3212880686.didat
│   │   │   ├── a_1084285107_3212880686.nt64.obj
│   │   │   ├── a_1260977075_3212880686.c
│   │   │   ├── a_1260977075_3212880686.didat
│   │   │   ├── a_1260977075_3212880686.nt64.obj
│   │   │   ├── a_3653193320_2372691052.c
│   │   │   ├── a_3653193320_2372691052.didat
│   │   │   ├── a_3653193320_2372691052.nt64.obj
│   │   │   ├── a_3675612157_1676830279.c
│   │   │   ├── a_3675612157_1676830279.didat
│   │   │   ├── a_3675612157_1676830279.nt64.obj
│   │   │   ├── tb_wave_isim_beh.exe_main.c
│   │   │   └── tb_wave_isim_beh.exe_main.nt64.obj
│   │   └── xilinxcorelib
│   │   ├── a_1897381312_3212880686.c
│   │   ├── a_1897381312_3212880686.didat
│   │   ├── a_1897381312_3212880686.nt64.obj
│   │   ├── a_2476657656_0543512595.c
│   │   ├── a_2476657656_0543512595.didat
│   │   ├── a_2476657656_0543512595.nt64.obj
│   │   ├── a_3187929242_2959432447.c
│   │   ├── a_3187929242_2959432447.didat
│   │   ├── a_3187929242_2959432447.nt64.obj
│   │   ├── a_3216379271_2959432447.c
│   │   ├── a_3216379271_2959432447.didat
│   │   ├── a_3216379271_2959432447.nt64.obj
│   │   ├── a_3904194923_1709443946.c
│   │   ├── a_3904194923_1709443946.didat
│   │   └── a_3904194923_1709443946.nt64.obj
│   ├── tb_wave_isim_translate.exe.sim
│   │   ├── isimcrash.log
│   │   ├── ISimEngine-DesignHierarchy.dbg
│   │   ├── isimkernel.log
│   │   ├── libPortability.dll
│   │   ├── netId.dat
│   │   ├── simprim
│   │   │   ├── a_0164147808_1957906245_0433961640.didat
│   │   │   ├── a_0303622996_1957906245_0433961640.didat
│   │   │   ├── a_0303622996_1957906245.c
│   │   │   ├── a_0303622996_1957906245.nt64.obj
│   │   │   ├── a_0337481411_1235907005_0433961640.didat
│   │   │   ├── a_0337481411_1235907005.c
│   │   │   ├── a_0337481411_1235907005.nt64.obj
│   │   │   ├── a_0457340132_2413300256_0433961640.didat
│   │   │   ├── a_0562922936_1912361408_0433961640.didat
│   │   │   ├── a_0562922936_1912361408.c
│   │   │   ├── a_0562922936_1912361408.nt64.obj
│   │   │   ├── a_0761343928_0381654293_0433961640.didat
│   │   │   ├── a_0761343928_0381654293.c
│   │   │   ├── a_0761343928_0381654293.nt64.obj
│   │   │   ├── a_0860940424_1564065396_0433961640.didat
│   │   │   ├── a_0860940424_1564065396.c
│   │   │   ├── a_0860940424_1564065396.nt64.obj
│   │   │   ├── a_1043277337_2264642244_0433961640.didat
│   │   │   ├── a_1043277337_2264642244.c
│   │   │   ├── a_1043277337_2264642244.nt64.obj
│   │   │   ├── a_1157900935_1883126775_0433961640.didat
│   │   │   ├── a_1273711505_1957906245_0433961640.didat
│   │   │   ├── a_1385652478_1883126775_0433961640.didat
│   │   │   ├── a_1385652478_1883126775.c
│   │   │   ├── a_1385652478_1883126775.nt64.obj
│   │   │   ├── a_1387470219_3879906181_0433961640.didat
│   │   │   ├── a_1387470219_3879906181.c
│   │   │   ├── a_1387470219_3879906181.nt64.obj
│   │   │   ├── a_1460551814_1957906245_0433961640.didat
│   │   │   ├── a_1509725169_3980060181_0433961640.didat
│   │   │   ├── a_1509725169_3980060181.c
│   │   │   ├── a_1509725169_3980060181.nt64.obj
│   │   │   ├── a_1720481801_1957906245_0433961640.didat
│   │   │   ├── a_1747349493_1957906245_0433961640.didat
│   │   │   ├── a_1842505884_2082680706.c
│   │   │   ├── a_1842505884_2082680706.didat
│   │   │   ├── a_1842505884_2082680706.nt64.obj
│   │   │   ├── a_1859873907_2082082460.c
│   │   │   ├── a_1859873907_2082082460.didat
│   │   │   ├── a_1859873907_2082082460.nt64.obj
│   │   │   ├── a_2007742134_2082680706.c
│   │   │   ├── a_2007742134_2082680706.didat
│   │   │   ├── a_2007742134_2082680706.nt64.obj
│   │   │   ├── a_2027651288_3300903326_0433961640.didat
│   │   │   ├── a_2027651288_3300903326.c
│   │   │   ├── a_2027651288_3300903326.nt64.obj
│   │   │   ├── a_2155285624_1178295121_0433961640.didat
│   │   │   ├── a_2155285624_1178295121.c
│   │   │   ├── a_2155285624_1178295121.nt64.obj
│   │   │   ├── a_2655880337_1957906245_0433961640.didat
│   │   │   ├── a_2880286190_2413300256_0433961640.didat
│   │   │   ├── a_2880286190_2413300256.c
│   │   │   ├── a_2880286190_2413300256.nt64.obj
│   │   │   ├── a_3156740924_4150518722_0433961640.didat
│   │   │   ├── a_3156740924_4150518722.c
│   │   │   ├── a_3156740924_4150518722.nt64.obj
│   │   │   ├── a_3202298118_2264642244_0433961640.didat
│   │   │   ├── a_3202298118_2264642244.c
│   │   │   ├── a_3202298118_2264642244.nt64.obj
│   │   │   ├── a_3395610110_2220797900_0433961640.didat
│   │   │   ├── a_3395610110_2220797900.c
│   │   │   ├── a_3395610110_2220797900.nt64.obj
│   │   │   ├── a_3531501133_3419647467.c
│   │   │   ├── a_3531501133_3419647467.didat
│   │   │   ├── a_3531501133_3419647467.nt64.obj
│   │   │   ├── a_3624651761_1883126775_0433961640.didat
│   │   │   ├── a_3702995043_2431929443_0433961640.didat
│   │   │   ├── a_3702995043_2431929443.c
│   │   │   ├── a_3702995043_2431929443.nt64.obj
│   │   │   ├── a_3719694673_1957906245_0433961640.didat
│   │   │   ├── a_3837660774_2264642244_0433961640.didat
│   │   │   ├── a_3837660774_2264642244.c
│   │   │   ├── a_3837660774_2264642244.nt64.obj
│   │   │   ├── a_3912256443_3689431007.c
│   │   │   ├── a_3912256443_3689431007.didat
│   │   │   ├── a_3912256443_3689431007.nt64.obj
│   │   │   ├── a_3936907874_4055128042_0433961640.didat
│   │   │   ├── a_3936907874_4055128042.c
│   │   │   ├── a_3936907874_4055128042.nt64.obj
│   │   │   ├── a_3960525574_1957906245_0433961640.didat
│   │   │   ├── a_4130118134_1564065396_0433961640.didat
│   │   │   ├── a_4181367278_3380326129_0433961640.didat
│   │   │   ├── a_4181367278_3380326129.c
│   │   │   ├── a_4181367278_3380326129.nt64.obj
│   │   │   ├── p_0947159679.c
│   │   │   ├── p_0947159679.didat
│   │   │   ├── p_0947159679.nt64.obj
│   │   │   ├── p_4208868169.c
│   │   │   ├── p_4208868169.didat
│   │   │   └── p_4208868169.nt64.obj
│   │   ├── tb_wave_isim_translate.exe
│   │   ├── tmp_save
│   │   │   └── _1
│   │   └── work
│   │   ├── a_0462241085_0632001823.c
│   │   ├── a_0462241085_0632001823.didat
│   │   ├── a_0462241085_0632001823.nt64.obj
│   │   ├── a_0549019542_0632001823.c
│   │   ├── a_0549019542_0632001823.didat
│   │   ├── a_0549019542_0632001823.nt64.obj
│   │   ├── a_1084285107_0632001823.c
│   │   ├── a_1084285107_0632001823.didat
│   │   ├── a_1084285107_0632001823.nt64.obj
│   │   ├── a_3653193320_2372691052.c
│   │   ├── a_3653193320_2372691052.didat
│   │   ├── a_3653193320_2372691052.nt64.obj
│   │   ├── tb_wave_isim_translate.exe_main.c
│   │   └── tb_wave_isim_translate.exe_main.nt64.obj
│   ├── temp
│   │   ├── cnt10.vdb
│   │   ├── sinwave.vdb
│   │   ├── spi_clk.vdb
│   │   ├── tb_wave.vdb
│   │   └── wave.vdb
│   └── work
│   ├── cnt10.vdb
│   ├── sinwave.vdb
│   ├── spi_clk.vdb
│   └── tb_wave.vdb
├── isim.cmd
├── isim.log
├── netgen
│   ├── synthesis
│   │   ├── sinwave_synthesis.nlf
│   │   └── sinwave_synthesis.vhd
│   └── translate
│   ├── sinwave_translate.nlf
│   └── sinwave_translate.vhd
├── new_testbench.vhd
├── _ngo
│   └── netlist.lst
├── pa.fromNetlist.tcl
├── pepExtractor.prj
├── planAhead.ngc2edif.log
├── planAhead_run_1
│   ├── planAhead.jou
│   ├── planAhead.log
│   ├── planAhead_run.log
│   ├── WAVE.data
│   │   ├── cache
│   │   │   ├── sinwave_ngc_33a7bb9d.edif
│   │   │   └── wave_ngc_4523f753.edif
│   │   ├── constrs_1
│   │   │   └── fileset.xml
│   │   ├── runs
│   │   │   ├── impl_1.psg
│   │   │   └── runs.xml
│   │   ├── sources_1
│   │   │   └── fileset.xml
│   │   └── wt
│   │   └── webtalk_pa.xml
│   └── WAVE.ppr
├── planAhead_run_2
│   ├── planAhead.jou
│   ├── planAhead.log
│   └── planAhead_run.log
├── planAhead_run_3
│   ├── planAhead.jou
│   ├── planAhead.log
│   ├── planAhead_run.log
│   ├── WAVE.data
│   │   ├── constrs_1
│   │   │   └── fileset.xml
│   │   ├── sources_1
│   │   │   └── fileset.xml
│   │   └── wt
│   │   └── webtalk_pa.xml
│   └── WAVE.ppr
├── sinwave.bgn
├── sinwave.bit
├── sinwave_bitgen.xwbt
├── sinwave.bld
├── sinwave.cmd_log
├── sinwave.drc
├── sinwave_envsettings.html
├── sinwave_guide.ncd
├── sinwave_isim_beh.exe
├── sinwave.lso
├── sinwave_map.map
├── sinwave_map.mrp
├── sinwave_map.ncd
├── sinwave_map.ngm
├── sinwave_map.xrpt
├── sinwave.ncd
├── sinwave.ngc
├── sinwave.ngd
├── sinwave_ngdbuild.xrpt
├── sinwave.ngr
├── sinwave.pad
├── sinwave_pad.csv
├── sinwave_pad.txt
├── sinwave.par
├── sinwave_par.xrpt
├── sinwave.pcf
├── sinwave_preroute.twr
├── sinwave_preroute.twx
├── sinwave.prj
├── sinwave.ptwx
├── sinwave.stx
├── sinwave_summary.html
├── sinwave_summary.xml
├── sinwave.syr
├── sinwave.twr
├── sinwave.twx
├── sinwave.ucf
├── sinwave.unroutes
├── sinwave_usage.xml
├── sinwave.ut
├── sinwave.vhd
├── sinwave.vhd.bak
├── sinwave_vhdl.prj
├── sinwave.xpi
├── sinwave.xst
├── sinwave_xst.xrpt
├── spi_clk_arwz.ucf
├── spi_clk.vhd
├── tb0_cnt10_isim_beh.exe
├── tb0_cnt10.vhd
├── tb_cnt10_beh.prj
├── tb_cnt10_isim_beh.exe
├── tb_cnt10.vhd
├── tb_wave_beh.prj
├── tb_wave.fdo
├── tb_wave_isim_beh.exe
├── tb_wave_isim_beh.wdb
├── tb_wave_isim_translate.exe
├── tb_wave_isim_translate.wdb
├── tb_wave_stx_beh.prj
├── tb_wave_stx_translate.prj
├── tb_wave_translate.prj
├── tb_wave.udo
├── tb_wave.vhd
├── tb_wave_wave.fdo
├── transcript
├── usage_statistics_webtalk.html
├── vish_stacktrace.vstf
├── vsim.wlf
├── wave.coe
├── waveform.cmd_log
├── waveform_envsettings.html
├── waveform.fdo
├── waveform.lso
├── waveform.ngc
├── waveform.ngr
├── waveform.prj
├── waveform.stx
├── waveform_summary.html
├── waveform.syr
├── waveform.udo
├── waveform_vhdl.prj
├── waveform_wave.fdo
├── waveform.xst
├── waveform_xst.xrpt
├── WAVE.gise
├── WAVE_ise12migration.zip
├── wave.mif
├── wave_summary.html
├── WAVE_testbench_beh.prj
├── WAVE_testbench_isim_beh.exe
├── WAVE_testbench_isim_beh.wdb
├── WAVE_testbench_stx_beh.prj
├── WAVE_testbench.vhd
├── WAVE.xise
├── webtalk_impact.xml
├── webtalk.log
├── webtalk_pn.xml
├── work
│   ├── cnt10
│   │   ├── behavioral.dat
│   │   ├── behavioral.dbs
│   │   ├── _primary.dat
│   │   └── _primary.dbs
│   ├── _info
│   ├── @_opt
│   │   ├── _deps
│   │   ├── vopt0h0za1
│   │   ├── vopt0nmkw0
│   │   ├── vopt0vaae0
│   │   ├── vopt14t45b
│   │   ├── vopt19ftna
│   │   ├── vopt1e4g8a
│   │   ├── vopt1sj726
│   │   ├── vopt1z4fjb
│   │   ├── vopt21ym2k
│   │   ├── vopt2i7f4c
│   │   ├── vopt2iykdm
│   │   ├── vopt2qjazk
│   │   ├── vopt2w80hk
│   │   ├── vopt3035nz
│   │   ├── vopt35rt7z
│   │   ├── vopt3adgsy
│   │   ├── vopt3f26by
│   │   ├── vopt42wb58
│   │   ├── vopt4e7my9
│   │   ├── vopt4jwag9
│   │   ├── vopt4rh029
│   │   ├── vopt4x6nj8
│   │   ├── vopt511vrj
│   │   ├── vopt56ngaj
│   │   ├── vopt5bb6wi
│   │   ├── vopt5g0wdi
│   │   ├── vopt63t18w
│   │   ├── vopt6kt0jx
│   │   ├── vopt6sfn4x
│   │   ├── vopt6y4cnw
│   │   ├── vopt72za1a
│   │   ├── vopt77k6d7
│   │   ├── vopt7c9wy6
│   │   ├── vopt7hyhg6
│   │   ├── vopt7nj726
│   │   ├── vopt84rqag
│   │   ├── vopt8mrnmh
│   │   ├── vopt8tdc7h
│   │   ├── vopt8vii3g
│   │   ├── vopt8z22sg
│   │   ├── vopt93x6yv
│   │   ├── vopt98iwfv
│   │   ├── vopt9d7i1v
│   │   ├── vopt9iw7jt
│   │   ├── vopt9k6t5n
│   │   ├── vopt9qhx4t
│   │   ├── vopta01rv4
│   │   ├── vopta5ndd4
│   │   ├── voptanncr5
│   │   ├── voptavb2a5
│   │   ├── voptb9giif
│   │   ├── voptbe584f
│   │   ├── voptbjtxme
│   │   ├── voptbrfj7e
│   │   ├── voptc1zdyr
│   │   ├── voptc6k3gr
│   │   ├── voptcb9s1r
│   │   ├── voptcw9rcs
│   │   ├── voptdae8m3
│   │   ├── voptdbae0e
│   │   ├── voptdf3y63
│   │   ├── voptdkrjr2
│   │   ├── voptdsd9a2
│   │   ├── vopte2x31d
│   │   ├── vopte4ym2k
│   │   ├── vopte7isic
│   │   ├── vopterirxd
│   │   ├── voptex7efd
│   │   ├── voptfbcyqq
│   │   ├── voptfg1k9q
│   │   ├── voptfmn9vn
│   │   ├── voptftbzcn
│   │   ├── voptfxhx4t
│   │   ├── voptg3vs31
│   │   ├── voptg8gfm0
│   │   ├── voptgd5570
│   │   ├── voptgy54i1
│   │   ├── vopth0za1a
│   │   ├── vopthhz9cb
│   │   ├── vopthnkzxa
│   │   ├── vopthv9mfa
│   │   ├── vopti4sf6m
│   │   ├── vopti9e5rk
│   │   ├── voptie3v9k
│   │   ├── voptiz3tkm
│   │   ├── voptj1x04y
│   │   ├── voptjixzez
│   │   ├── voptjqim0z
│   │   ├── voptjw7biy
│   │   ├── voptk02gq9
│   │   ├── voptk5q599
│   │   ├── voptkacvt8
│   │   ├── voptkd9s1r
│   │   ├── voptkf1hc8
│   │   ├── voptm2vn6i
│   │   ├── voptm6x04y
│   │   ├── voptmjvmhj
│   │   ├── voptmrgb3j
│   │   ├── voptmx51mi
│   │   ├── voptn6mvbx
│   │   ├── voptnbahxw
│   │   ├── voptngz6fw
│   │   ├── voptnmkw0w
│   │   ├── voptnzg864
│   │   ├── voptq3sc96
│   │   ├── voptqksbk7
│   │   ├── voptqse167
│   │   ├── voptqy3qq6
│   │   ├── voptr7jheh
│   │   ├── voptrc870h
│   │   ├── voptrhxwhg
│   │   ├── voptrnii3g
│   │   ├── vopts4q2ct
│   │   ├── voptsmq1qv
│   │   ├── voptstcq8v
│   │   ├── voptsz1dtt
│   │   ├── voptt8h7h5
│   │   ├── vopttd6x25
│   │   ├── vopttivik4
│   │   ├── vopttnkw0w
│   │   ├── vopttqg864
│   │   ├── voptv003xe
│   │   ├── voptv5mree
│   │   ├── voptvaae0e
│   │   ├── voptvf8432
│   │   ├── voptvvadbf
│   │   ├── voptw8wb58
│   │   ├── voptw9fxjs
│   │   ├── voptwe4j5s
│   │   ├── voptwjs8qr
│   │   ├── voptwrey8r
│   │   ├── voptx1yrz2
│   │   ├── voptx6jeh2
│   │   ├── voptxb8432
│   │   ├── voptxw83e3
│   │   ├── voptyadjnd
│   │   ├── voptyf298d
│   │   ├── voptykqysc
│   │   ├── voptysckbc
│   │   ├── voptz2we2q
│   │   ├── voptz7h4kn
│   │   ├── voptzc6t5n
│   │   └── voptzx6sgq
│   ├── sinwave
│   │   ├── behavioral.dat
│   │   ├── behavioral.dbs
│   │   ├── _primary.dat
│   │   └── _primary.dbs
│   ├── spi_clk
│   │   ├── behavioral.dat
│   │   ├── behavioral.dbs
│   │   ├── _primary.dat
│   │   └── _primary.dbs
│   ├── tb_wave
│   │   ├── behavior.dat
│   │   ├── behavior.dbs
│   │   ├── _primary.dat
│   │   └── _primary.dbs
│   ├── _vmake
│   ├── wave
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   ├── wave_a.dat
│   │   └── wave_a.dbs
│   └── waveform
│   ├── _primary.dat
│   └── _primary.dbs
├── xaw2vhdl.log
├── xilinxsim.ini
├── xlnx_auto_0_xdb
│   └── cst.xbcd
├── _xmsgs
│   ├── bitgen.xmsgs
│   ├── map.xmsgs
│   ├── netgen.xmsgs
│   ├── ngdbuild.xmsgs
│   ├── par.xmsgs
│   ├── pn_parser.xmsgs
│   ├── trce.xmsgs
│   └── xst.xmsgs
└── xst
└── work
├── hdllib.ref
├── hdpdeps.ref
└── sub00
├── vhpl00.vho
├── vhpl01.vho
├── vhpl02.vho
├── vhpl03.vho
├── vhpl04.vho
├── vhpl05.vho
├── vhpl06.vho
├── vhpl07.vho
├── vhpl08.vho
└── vhpl09.vho

74 directories, 707 files

标签:

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警