在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 单/多周期CPU 流水线CPU Verilog设计与仿真

单/多周期CPU 流水线CPU Verilog设计与仿真

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:28.25M
  • 下载次数:16
  • 浏览次数:105
  • 发布时间:2020-10-16
  • 实例类别:一般编程问题
  • 发 布 人:panshi221
  • 文件格式:.zip
  • 所需积分:2
 相关标签: 33

实例介绍

【实例简介】

本学期一共布置了三次CPU代码编写实验,每一个文件夹分别对应一个实验。

  • single-cycle 文件夹对应了单周期CPU的代码
  • single-IO2 文件夹对应了单周期CPU与IO设备交互的代码
  • Pipeline_CPU 文件夹对应了带有与IO设备交互功能的流水线CPU代码

三次实验的代码报告都在相应文件夹的report子文件夹内。2020年由于疫情缘故,IEEE学生未能拿到电路板对代码进行烧录。因此数显管,输入按钮等等功能没有完全实现。如需参考请移步往届代码。


【实例截图】

【核心代码】

EI332-master

├── Pipeline_CPU
│   ├── pipelined_computer.qpf
│   ├── pipelined_computer.qsf
│   ├── pipelined_computer.qws
│   ├── report
│   │   ├── 1.png
│   │   ├── 2.png
│   │   ├── 3.png
│   │   ├── 4.png
│   │   ├── 5.png
│   │   ├── 518030910374_曾锴鹏_实验3.md
│   │   ├── 518030910374_曾锴鹏_实验3.pdf
│   │   ├── 6.png
│   │   ├── 7.png
│   │   └── 8.png
│   ├── sc_datamem_1.mif
│   ├── sc_datamem_new.mif
│   ├── sc_datamem_old.mif
│   ├── sc_instmem_1.mif
│   ├── sc_instmem_new.mif
│   ├── sc_instmem_old.mif
│   └── source
│       ├── alu.v
│       ├── io_input.v
│       ├── io_output.v
│       ├── io_output.v.bak
│       ├── lpm_ram_dq_dram.v
│       ├── lpm_rom_irom.v
│       ├── mux2x32.v
│       ├── mux2x5.v
│       ├── mux4x32.v
│       ├── pipedereg.v
│       ├── pipedereg.v.bak
│       ├── pipeemreg.v
│       ├── pipeemreg.v.bak
│       ├── pipeexe.v
│       ├── pipeexe.v.bak
│       ├── pipeid.v
│       ├── pipeid.v.bak
│       ├── pipeif.v
│       ├── pipeif.v.bak
│       ├── pipeir.v
│       ├── pipeir.v.bak
│       ├── pipelined_computer.v
│       ├── pipelined_computer.v.bak
│       ├── pipelined_computer_test_wave_01.vwf
│       ├── pipemem.v
│       ├── pipemem.v.bak
│       ├── pipemwreg.v
│       ├── pipemwreg.v.bak
│       ├── pipepc.v
│       ├── pipepc.v.bak
│       ├── regfile.v
│       ├── sc_cu.v
│       ├── sc_cu.v.bak
│       ├── sc_datamem.mif
│       ├── sc_datamem.mif.bak
│       ├── sc_datamem.v
│       ├── sc_datamem.v.bak
│       ├── sc_instmem.mif
│       ├── sc_instmem.mif.bak
│       ├── sc_instmem.v
│       └── sc_instmem.v.bak
├── Single-cycle
│   ├── db
│   │   ├── altsyncram_3uf1.tdf
│   │   ├── altsyncram_mfc1.tdf
│   │   ├── logic_util_heursitic.dat
│   │   ├── prev_cmp_sc_computer.qmsg
│   │   ├── sc_computer.(0).cnf.cdb
│   │   ├── sc_computer.(0).cnf.hdb
│   │   ├── sc_computer.(1).cnf.cdb
│   │   ├── sc_computer.(1).cnf.hdb
│   │   ├── sc_computer.(10).cnf.cdb
│   │   ├── sc_computer.(10).cnf.hdb
│   │   ├── sc_computer.(11).cnf.cdb
│   │   ├── sc_computer.(11).cnf.hdb
│   │   ├── sc_computer.(12).cnf.cdb
│   │   ├── sc_computer.(12).cnf.hdb
│   │   ├── sc_computer.(13).cnf.cdb
│   │   ├── sc_computer.(13).cnf.hdb
│   │   ├── sc_computer.(14).cnf.cdb
│   │   ├── sc_computer.(14).cnf.hdb
│   │   ├── sc_computer.(15).cnf.cdb
│   │   ├── sc_computer.(15).cnf.hdb
│   │   ├── sc_computer.(16).cnf.cdb
│   │   ├── sc_computer.(16).cnf.hdb
│   │   ├── sc_computer.(17).cnf.cdb
│   │   ├── sc_computer.(17).cnf.hdb
│   │   ├── sc_computer.(2).cnf.cdb
│   │   ├── sc_computer.(2).cnf.hdb
│   │   ├── sc_computer.(3).cnf.cdb
│   │   ├── sc_computer.(3).cnf.hdb
│   │   ├── sc_computer.(4).cnf.cdb
│   │   ├── sc_computer.(4).cnf.hdb
│   │   ├── sc_computer.(5).cnf.cdb
│   │   ├── sc_computer.(5).cnf.hdb
│   │   ├── sc_computer.(6).cnf.cdb
│   │   ├── sc_computer.(6).cnf.hdb
│   │   ├── sc_computer.(7).cnf.cdb
│   │   ├── sc_computer.(7).cnf.hdb
│   │   ├── sc_computer.(8).cnf.cdb
│   │   ├── sc_computer.(8).cnf.hdb
│   │   ├── sc_computer.(9).cnf.cdb
│   │   ├── sc_computer.(9).cnf.hdb
│   │   ├── sc_computer.asm.qmsg
│   │   ├── sc_computer.asm.rdb
│   │   ├── sc_computer.asm_labs.ddb
│   │   ├── sc_computer.cbx.xml
│   │   ├── sc_computer.cmp.bpm
│   │   ├── sc_computer.cmp.cdb
│   │   ├── sc_computer.cmp.hdb
│   │   ├── sc_computer.cmp.idb
│   │   ├── sc_computer.cmp.kpt
│   │   ├── sc_computer.cmp.logdb
│   │   ├── sc_computer.cmp.rdb
│   │   ├── sc_computer.cmp0.ddb
│   │   ├── sc_computer.cmp1.ddb
│   │   ├── sc_computer.cmp2.ddb
│   │   ├── sc_computer.cmp_merge.kpt
│   │   ├── sc_computer.db_info
│   │   ├── sc_computer.eda.qmsg
│   │   ├── sc_computer.fit.qmsg
│   │   ├── sc_computer.hier_info
│   │   ├── sc_computer.hif
│   │   ├── sc_computer.ipinfo
│   │   ├── sc_computer.lpc.html
│   │   ├── sc_computer.lpc.rdb
│   │   ├── sc_computer.lpc.txt
│   │   ├── sc_computer.map.ammdb
│   │   ├── sc_computer.map.bpm
│   │   ├── sc_computer.map.cdb
│   │   ├── sc_computer.map.hdb
│   │   ├── sc_computer.map.kpt
│   │   ├── sc_computer.map.logdb
│   │   ├── sc_computer.map.qmsg
│   │   ├── sc_computer.map.rdb
│   │   ├── sc_computer.map_bb.cdb
│   │   ├── sc_computer.map_bb.hdb
│   │   ├── sc_computer.map_bb.logdb
│   │   ├── sc_computer.pre_map.hdb
│   │   ├── sc_computer.pti_db_list.ddb
│   │   ├── sc_computer.root_partition.map.reg_db.cdb
│   │   ├── sc_computer.routing.rdb
│   │   ├── sc_computer.rtlv.hdb
│   │   ├── sc_computer.rtlv_sg.cdb
│   │   ├── sc_computer.rtlv_sg_swap.cdb
│   │   ├── sc_computer.sgdiff.cdb
│   │   ├── sc_computer.sgdiff.hdb
│   │   ├── sc_computer.sld_design_entry.sci
│   │   ├── sc_computer.sld_design_entry_dsc.sci
│   │   ├── sc_computer.smart_action.txt
│   │   ├── sc_computer.sta.qmsg
│   │   ├── sc_computer.sta.rdb
│   │   ├── sc_computer.sta_cmp.8_slow.tdb
│   │   ├── sc_computer.syn_hier_info
│   │   ├── sc_computer.tis_db_list.ddb
│   │   └── sc_computer.vpr.ammdb
│   ├── incremental_db
│   │   ├── README
│   │   └── compiled_partitions
│   │       ├── sc_computer.db_info
│   │       ├── sc_computer.root_partition.cmp.ammdb
│   │       ├── sc_computer.root_partition.cmp.cdb
│   │       ├── sc_computer.root_partition.cmp.dfp
│   │       ├── sc_computer.root_partition.cmp.hdb
│   │       ├── sc_computer.root_partition.cmp.kpt
│   │       ├── sc_computer.root_partition.cmp.logdb
│   │       ├── sc_computer.root_partition.cmp.rcfdb
│   │       ├── sc_computer.root_partition.map.cdb
│   │       ├── sc_computer.root_partition.map.dpi
│   │       ├── sc_computer.root_partition.map.hbdb.cdb
│   │       ├── sc_computer.root_partition.map.hbdb.hb_info
│   │       ├── sc_computer.root_partition.map.hbdb.hdb
│   │       ├── sc_computer.root_partition.map.hbdb.sig
│   │       ├── sc_computer.root_partition.map.hdb
│   │       └── sc_computer.root_partition.map.kpt
│   ├── output_files
│   │   ├── sc_computer.asm.rpt
│   │   ├── sc_computer.done
│   │   ├── sc_computer.eda.rpt
│   │   ├── sc_computer.fit.rpt
│   │   ├── sc_computer.fit.smsg
│   │   ├── sc_computer.fit.summary
│   │   ├── sc_computer.flow.rpt
│   │   ├── sc_computer.jdi
│   │   ├── sc_computer.map.rpt
│   │   ├── sc_computer.map.summary
│   │   ├── sc_computer.pin
│   │   ├── sc_computer.pof
│   │   ├── sc_computer.sof
│   │   ├── sc_computer.sta.rpt
│   │   └── sc_computer.sta.summary
│   ├── report
│   │   ├── det1.png
│   │   ├── det2.png
│   │   ├── det3.png
│   │   ├── det4.png
│   │   ├── det5.png
│   │   ├── det6.png
│   │   ├── det7.png
│   │   ├── det8.png
│   │   ├── report.md
│   │   ├── tot.png
│   │   ├── zzb1.png
│   │   └── zzb2.png
│   ├── sc_computer.qpf
│   ├── sc_computer.qsf
│   ├── sc_computer.qws
│   ├── simulation
│   │   ├── modelsim
│   │   │   ├── sc_computer.sft
│   │   │   ├── sc_computer.vo
│   │   │   ├── sc_computer_fast.vo
│   │   │   ├── sc_computer_modelsim.xrf
│   │   │   ├── sc_computer_v.sdo
│   │   │   └── sc_computer_v_fast.sdo
│   │   └── qsim
│   │       ├── sc_computer.do
│   │       ├── sc_computer.msim.vcd
│   │       ├── sc_computer.msim.vwf
│   │       ├── sc_computer.sim.vwf
│   │       ├── sc_computer.vo
│   │       ├── sc_computer.vt
│   │       ├── sc_computer_v.sdo
│   │       ├── sc_computer_v.sdo_typ.csd
│   │       ├── transcript
│   │       ├── vsim.wlf
│   │       ├── wlftwvv9vc
│   │       └── work
│   │           ├── _info
│   │           ├── _vmake
│   │           ├── sc_computer
│   │           │   ├── _primary.dat
│   │           │   ├── _primary.dbs
│   │           │   ├── _primary.vhd
│   │           │   ├── verilog.prw
│   │           │   └── verilog.psm
│   │           ├── sc_computer_vlg_check_tst
│   │           │   ├── _primary.dat
│   │           │   ├── _primary.dbs
│   │           │   ├── _primary.vhd
│   │           │   ├── verilog.prw
│   │           │   └── verilog.psm
│   │           ├── sc_computer_vlg_sample_tst
│   │           │   ├── _primary.dat
│   │           │   ├── _primary.dbs
│   │           │   ├── _primary.vhd
│   │           │   ├── verilog.prw
│   │           │   └── verilog.psm
│   │           └── sc_computer_vlg_vec_tst
│   │               ├── _primary.dat
│   │               ├── _primary.dbs
│   │               ├── _primary.vhd
│   │               ├── verilog.prw
│   │               └── verilog.psm
│   └── source
│       ├── Real_Value_Table_to student.xls
│       ├── alu.v
│       ├── alu.v.bak
│       ├── cla32.v
│       ├── db
│       │   ├── altsyncram_mfc1.tdf
│       │   ├── logic_util_heursitic.dat
│       │   ├── prev_cmp_sc_computer.qmsg
│       │   ├── sc_computer.(0).cnf.cdb
│       │   ├── sc_computer.(0).cnf.hdb
│       │   ├── sc_computer.(1).cnf.cdb
│       │   ├── sc_computer.(1).cnf.hdb
│       │   ├── sc_computer.(10).cnf.cdb
│       │   ├── sc_computer.(10).cnf.hdb
│       │   ├── sc_computer.(11).cnf.cdb
│       │   ├── sc_computer.(11).cnf.hdb
│       │   ├── sc_computer.(12).cnf.cdb
│       │   ├── sc_computer.(12).cnf.hdb
│       │   ├── sc_computer.(2).cnf.cdb
│       │   ├── sc_computer.(2).cnf.hdb
│       │   ├── sc_computer.(3).cnf.cdb
│       │   ├── sc_computer.(3).cnf.hdb
│       │   ├── sc_computer.(4).cnf.cdb
│       │   ├── sc_computer.(4).cnf.hdb
│       │   ├── sc_computer.(5).cnf.cdb
│       │   ├── sc_computer.(5).cnf.hdb
│       │   ├── sc_computer.(6).cnf.cdb
│       │   ├── sc_computer.(6).cnf.hdb
│       │   ├── sc_computer.(7).cnf.cdb
│       │   ├── sc_computer.(7).cnf.hdb
│       │   ├── sc_computer.(8).cnf.cdb
│       │   ├── sc_computer.(8).cnf.hdb
│       │   ├── sc_computer.(9).cnf.cdb
│       │   ├── sc_computer.(9).cnf.hdb
│       │   ├── sc_computer.cbx.xml
│       │   ├── sc_computer.cmp.rdb
│       │   ├── sc_computer.db_info
│       │   ├── sc_computer.hif
│       │   ├── sc_computer.ipinfo
│       │   ├── sc_computer.map.qmsg
│       │   ├── sc_computer.map.rdb
│       │   ├── sc_computer.map_bb.hdb
│       │   ├── sc_computer.pti_db_list.ddb
│       │   ├── sc_computer.sld_design_entry.sci
│       │   ├── sc_computer.smart_action.txt
│       │   └── sc_computer.tis_db_list.ddb
│       ├── dff32.v
│       ├── dffe32.v
│       ├── lpm_ram_dq_dram.bsf
│       ├── lpm_ram_dq_dram.v
│       ├── lpm_rom_irom.bsf
│       ├── lpm_rom_irom.v
│       ├── mux2x32.v
│       ├── mux2x5.v
│       ├── mux4x32.v
│       ├── output_files
│       │   ├── sc_computer.flow.rpt
│       │   ├── sc_computer.map.rpt
│       │   └── sc_computer.map.summary
│       ├── regfile.v
│       ├── sc_code_iotest.txt
│       ├── sc_computer.v
│       ├── sc_computer_test_wave_01.vwf
│       ├── sc_computer_test_wave_02.vwf
│       ├── sc_cpu.v
│       ├── sc_cu.v
│       ├── sc_cu.v.bak
│       ├── sc_datamem.mif
│       ├── sc_datamem.v
│       ├── sc_instmem.mif
│       └── sc_instmen.v
├── readme.md
└── single-IO2
    ├── report
    │   ├── 1.png
    │   ├── 2.png
    │   ├── 3.png
    │   ├── 4.png
    │   ├── 5.png
    │   └── report.md
    └── single-IO
        ├── db
        │   ├── add_sub_lkc.tdf
        │   ├── add_sub_mkc.tdf
        │   ├── alt_u_div_i2f.tdf
        │   ├── altsyncram_38f1.tdf
        │   ├── altsyncram_3uf1.tdf
        │   ├── altsyncram_mfc1.tdf
        │   ├── altsyncram_mpb1.tdf
        │   ├── logic_util_heursitic.dat
        │   ├── lpm_divide_eem.tdf
        │   ├── lpm_divide_h6m.tdf
        │   ├── prev_cmp_sc_computer.qmsg
        │   ├── sc_computer.(0).cnf.cdb
        │   ├── sc_computer.(0).cnf.hdb
        │   ├── sc_computer.(1).cnf.cdb
        │   ├── sc_computer.(1).cnf.hdb
        │   ├── sc_computer.(10).cnf.cdb
        │   ├── sc_computer.(10).cnf.hdb
        │   ├── sc_computer.(11).cnf.cdb
        │   ├── sc_computer.(11).cnf.hdb
        │   ├── sc_computer.(12).cnf.cdb
        │   ├── sc_computer.(12).cnf.hdb
        │   ├── sc_computer.(13).cnf.cdb
        │   ├── sc_computer.(13).cnf.hdb
        │   ├── sc_computer.(14).cnf.cdb
        │   ├── sc_computer.(14).cnf.hdb
        │   ├── sc_computer.(15).cnf.cdb
        │   ├── sc_computer.(15).cnf.hdb
        │   ├── sc_computer.(16).cnf.cdb
        │   ├── sc_computer.(16).cnf.hdb
        │   ├── sc_computer.(17).cnf.cdb
        │   ├── sc_computer.(17).cnf.hdb
        │   ├── sc_computer.(18).cnf.cdb
        │   ├── sc_computer.(18).cnf.hdb
        │   ├── sc_computer.(19).cnf.cdb
        │   ├── sc_computer.(19).cnf.hdb
        │   ├── sc_computer.(2).cnf.cdb
        │   ├── sc_computer.(2).cnf.hdb
        │   ├── sc_computer.(20).cnf.cdb
        │   ├── sc_computer.(20).cnf.hdb
        │   ├── sc_computer.(21).cnf.cdb
        │   ├── sc_computer.(21).cnf.hdb
        │   ├── sc_computer.(22).cnf.cdb
        │   ├── sc_computer.(22).cnf.hdb
        │   ├── sc_computer.(23).cnf.cdb
        │   ├── sc_computer.(23).cnf.hdb
        │   ├── sc_computer.(24).cnf.cdb
        │   ├── sc_computer.(24).cnf.hdb
        │   ├── sc_computer.(25).cnf.cdb
        │   ├── sc_computer.(25).cnf.hdb
        │   ├── sc_computer.(26).cnf.cdb
        │   ├── sc_computer.(26).cnf.hdb
        │   ├── sc_computer.(27).cnf.cdb
        │   ├── sc_computer.(27).cnf.hdb
        │   ├── sc_computer.(28).cnf.cdb
        │   ├── sc_computer.(28).cnf.hdb
        │   ├── sc_computer.(29).cnf.cdb
        │   ├── sc_computer.(29).cnf.hdb
        │   ├── sc_computer.(3).cnf.cdb
        │   ├── sc_computer.(3).cnf.hdb
        │   ├── sc_computer.(30).cnf.cdb
        │   ├── sc_computer.(30).cnf.hdb
        │   ├── sc_computer.(31).cnf.cdb
        │   ├── sc_computer.(31).cnf.hdb
        │   ├── sc_computer.(32).cnf.cdb
        │   ├── sc_computer.(32).cnf.hdb
        │   ├── sc_computer.(33).cnf.cdb
        │   ├── sc_computer.(33).cnf.hdb
        │   ├── sc_computer.(34).cnf.cdb
        │   ├── sc_computer.(34).cnf.hdb
        │   ├── sc_computer.(35).cnf.cdb
        │   ├── sc_computer.(35).cnf.hdb
        │   ├── sc_computer.(36).cnf.cdb
        │   ├── sc_computer.(36).cnf.hdb
        │   ├── sc_computer.(4).cnf.cdb
        │   ├── sc_computer.(4).cnf.hdb
        │   ├── sc_computer.(5).cnf.cdb
        │   ├── sc_computer.(5).cnf.hdb
        │   ├── sc_computer.(6).cnf.cdb
        │   ├── sc_computer.(6).cnf.hdb
        │   ├── sc_computer.(7).cnf.cdb
        │   ├── sc_computer.(7).cnf.hdb
        │   ├── sc_computer.(8).cnf.cdb
        │   ├── sc_computer.(8).cnf.hdb
        │   ├── sc_computer.(9).cnf.cdb
        │   ├── sc_computer.(9).cnf.hdb
        │   ├── sc_computer.asm.qmsg
        │   ├── sc_computer.asm.rdb
        │   ├── sc_computer.asm_labs.ddb
        │   ├── sc_computer.cbx.xml
        │   ├── sc_computer.cmp.bpm
        │   ├── sc_computer.cmp.cdb
        │   ├── sc_computer.cmp.hdb
        │   ├── sc_computer.cmp.idb
        │   ├── sc_computer.cmp.kpt
        │   ├── sc_computer.cmp.logdb
        │   ├── sc_computer.cmp.rdb
        │   ├── sc_computer.cmp0.ddb
        │   ├── sc_computer.cmp1.ddb
        │   ├── sc_computer.cmp_merge.kpt
        │   ├── sc_computer.db_info
        │   ├── sc_computer.eda.qmsg
        │   ├── sc_computer.fit.qmsg
        │   ├── sc_computer.hier_info
        │   ├── sc_computer.hif
        │   ├── sc_computer.ipinfo
        │   ├── sc_computer.lpc.html
        │   ├── sc_computer.lpc.rdb
        │   ├── sc_computer.lpc.txt
        │   ├── sc_computer.map.ammdb
        │   ├── sc_computer.map.bpm
        │   ├── sc_computer.map.cdb
        │   ├── sc_computer.map.hdb
        │   ├── sc_computer.map.kpt
        │   ├── sc_computer.map.logdb
        │   ├── sc_computer.map.qmsg
        │   ├── sc_computer.map.rdb
        │   ├── sc_computer.map_bb.cdb
        │   ├── sc_computer.map_bb.hdb
        │   ├── sc_computer.map_bb.logdb
        │   ├── sc_computer.pre_map.hdb
        │   ├── sc_computer.pti_db_list.ddb
        │   ├── sc_computer.root_partition.map.reg_db.cdb
        │   ├── sc_computer.routing.rdb
        │   ├── sc_computer.rtlv.hdb
        │   ├── sc_computer.rtlv_sg.cdb
        │   ├── sc_computer.rtlv_sg_swap.cdb
        │   ├── sc_computer.sgdiff.cdb
        │   ├── sc_computer.sgdiff.hdb
        │   ├── sc_computer.sld_design_entry.sci
        │   ├── sc_computer.sld_design_entry_dsc.sci
        │   ├── sc_computer.smart_action.txt
        │   ├── sc_computer.sta.qmsg
        │   ├── sc_computer.sta.rdb
        │   ├── sc_computer.sta_cmp.6_slow.tdb
        │   ├── sc_computer.syn_hier_info
        │   ├── sc_computer.tis_db_list.ddb
        │   ├── sc_computer.vpr.ammdb
        │   └── sign_div_unsign_olh.tdf
        ├── incremental_db
        │   ├── README
        │   └── compiled_partitions
        │       ├── sc_computer.db_info
        │       ├── sc_computer.root_partition.cmp.ammdb
        │       ├── sc_computer.root_partition.cmp.cdb
        │       ├── sc_computer.root_partition.cmp.dfp
        │       ├── sc_computer.root_partition.cmp.hdb
        │       ├── sc_computer.root_partition.cmp.kpt
        │       ├── sc_computer.root_partition.cmp.logdb
        │       ├── sc_computer.root_partition.cmp.rcfdb
        │       ├── sc_computer.root_partition.map.cdb
        │       ├── sc_computer.root_partition.map.dpi
        │       ├── sc_computer.root_partition.map.hbdb.cdb
        │       ├── sc_computer.root_partition.map.hbdb.hb_info
        │       ├── sc_computer.root_partition.map.hbdb.hdb
        │       ├── sc_computer.root_partition.map.hbdb.sig
        │       ├── sc_computer.root_partition.map.hdb
        │       └── sc_computer.root_partition.map.kpt
        ├── output_files
        │   ├── sc_computer.asm.rpt
        │   ├── sc_computer.done
        │   ├── sc_computer.eda.rpt
        │   ├── sc_computer.fit.rpt
        │   ├── sc_computer.fit.smsg
        │   ├── sc_computer.fit.summary
        │   ├── sc_computer.flow.rpt
        │   ├── sc_computer.jdi
        │   ├── sc_computer.map.rpt
        │   ├── sc_computer.map.smsg
        │   ├── sc_computer.map.summary
        │   ├── sc_computer.pin
        │   ├── sc_computer.pof
        │   ├── sc_computer.sof
        │   ├── sc_computer.sta.rpt
        │   └── sc_computer.sta.summary
        ├── sc_computer.qpf
        ├── sc_computer.qsf
        ├── sc_computer.qws
        ├── simulation
        │   ├── modelsim
        │   │   ├── sc_computer.sft
        │   │   ├── sc_computer.vo
        │   │   ├── sc_computer_fast.vo
        │   │   ├── sc_computer_modelsim.xrf
        │   │   ├── sc_computer_v.sdo
        │   │   └── sc_computer_v_fast.sdo
        │   └── qsim
        │       ├── sc_computer.do
        │       ├── sc_computer.msim.vcd
        │       ├── sc_computer.msim.vwf
        │       ├── sc_computer.sim.vwf
        │       ├── sc_computer.vo
        │       ├── sc_computer.vt
        │       ├── sc_computer_v.sdo
        │       ├── transcript
        │       ├── vsim.wlf
        │       ├── wlft28rf4x
        │       ├── wlft2tdzxk
        │       ├── wlft3r2289
        │       ├── wlft4i5kq0
        │       ├── wlftjq4cdf
        │       ├── wlftktkhd5
        │       ├── wlftmm0xmn
        │       ├── wlftnrtgth
        │       ├── wlftnx3xn3
        │       ├── wlftwxbtyd
        │       ├── wlftx54947
        │       └── work
        │           ├── _info
        │           ├── _vmake
        │           ├── sc_computer
        │           │   ├── _primary.dat
        │           │   ├── _primary.dbs
        │           │   ├── _primary.vhd
        │           │   ├── verilog.prw
        │           │   └── verilog.psm
        │           ├── sc_computer_vlg_check_tst
        │           │   ├── _primary.dat
        │           │   ├── _primary.dbs
        │           │   ├── _primary.vhd
        │           │   ├── verilog.prw
        │           │   └── verilog.psm
        │           ├── sc_computer_vlg_sample_tst
        │           │   ├── _primary.dat
        │           │   ├── _primary.dbs
        │           │   ├── _primary.vhd
        │           │   ├── verilog.prw
        │           │   └── verilog.psm
        │           └── sc_computer_vlg_vec_tst
        │               ├── _primary.dat
        │               ├── _primary.dbs
        │               ├── _primary.vhd
        │               ├── verilog.prw
        │               └── verilog.psm
        └── source
            ├── Real_Value_Table_to student.xls
            ├── Verilog4.v.bak
            ├── alu.v
            ├── alu.v.bak
            ├── cla32.v
            ├── clk_and_mem_clk.v.bak
            ├── clock_and_mem_clock.v
            ├── clock_and_mem_clock.v.bak
            ├── db
            │   ├── altsyncram_mfc1.tdf
            │   ├── logic_util_heursitic.dat
            │   ├── prev_cmp_sc_computer.qmsg
            │   ├── sc_computer.(0).cnf.cdb
            │   ├── sc_computer.(0).cnf.hdb
            │   ├── sc_computer.(1).cnf.cdb
            │   ├── sc_computer.(1).cnf.hdb
            │   ├── sc_computer.(10).cnf.cdb
            │   ├── sc_computer.(10).cnf.hdb
            │   ├── sc_computer.(11).cnf.cdb
            │   ├── sc_computer.(11).cnf.hdb
            │   ├── sc_computer.(12).cnf.cdb
            │   ├── sc_computer.(12).cnf.hdb
            │   ├── sc_computer.(2).cnf.cdb
            │   ├── sc_computer.(2).cnf.hdb
            │   ├── sc_computer.(3).cnf.cdb
            │   ├── sc_computer.(3).cnf.hdb
            │   ├── sc_computer.(4).cnf.cdb
            │   ├── sc_computer.(4).cnf.hdb
            │   ├── sc_computer.(5).cnf.cdb
            │   ├── sc_computer.(5).cnf.hdb
            │   ├── sc_computer.(6).cnf.cdb
            │   ├── sc_computer.(6).cnf.hdb
            │   ├── sc_computer.(7).cnf.cdb
            │   ├── sc_computer.(7).cnf.hdb
            │   ├── sc_computer.(8).cnf.cdb
            │   ├── sc_computer.(8).cnf.hdb
            │   ├── sc_computer.(9).cnf.cdb
            │   ├── sc_computer.(9).cnf.hdb
            │   ├── sc_computer.cbx.xml
            │   ├── sc_computer.cmp.rdb
            │   ├── sc_computer.db_info
            │   ├── sc_computer.hif
            │   ├── sc_computer.ipinfo
            │   ├── sc_computer.map.qmsg
            │   ├── sc_computer.map.rdb
            │   ├── sc_computer.map_bb.hdb
            │   ├── sc_computer.pti_db_list.ddb
            │   ├── sc_computer.sld_design_entry.sci
            │   ├── sc_computer.smart_action.txt
            │   └── sc_computer.tis_db_list.ddb
            ├── dff32.v
            ├── dffe32.v
            ├── in_port.v
            ├── in_port.v.bak
            ├── io_input.v
            ├── io_input.v.bak
            ├── io_output.v
            ├── io_output.v.bak
            ├── lpm_ram_dq_dram.bsf
            ├── lpm_ram_dq_dram.v
            ├── lpm_ram_dq_dram.v.bak
            ├── lpm_rom_irom.bsf
            ├── lpm_rom_irom.v
            ├── lpm_rom_irom.v.bak
            ├── mux2x32.v
            ├── mux2x5.v
            ├── mux4x32.v
            ├── out_port_seg.v
            ├── out_port_seg.v.bak
            ├── output_files
            │   ├── sc_computer.flow.rpt
            │   ├── sc_computer.map.rpt
            │   └── sc_computer.map.summary
            ├── regfile.v
            ├── sc_code_iotest.txt
            ├── sc_computer.qws
            ├── sc_computer.v
            ├── sc_computer.v.bak
            ├── sc_computer_main.qws
            ├── sc_computer_main.v
            ├── sc_computer_main.v.bak
            ├── sc_computer_test_wave_01.vwf
            ├── sc_computer_test_wave_02.vwf
            ├── sc_cpu.v
            ├── sc_cpu.v.bak
            ├── sc_cu.v
            ├── sc_cu.v.bak
            ├── sc_datamem.mif
            ├── sc_datamem.v
            ├── sc_datamem.v.bak
            ├── sc_instmem.mif
            ├── sc_instmem.v
            └── sc_instmen.v

38 directories, 628 files


标签: 33

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警