在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → DE2-70开发板程序实例

DE2-70开发板程序实例

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:21.60M
  • 下载次数:4
  • 浏览次数:82
  • 发布时间:2020-10-09
  • 实例类别:一般编程问题
  • 发 布 人:robot666
  • 文件格式:.rar
  • 所需积分:2
 

实例介绍

【实例简介】
DE2-70开发板程序实例 比较好 有兴趣可以参考下
【实例截图】
【核心代码】
DE2-70-board
└── DE2-70-board
├── audio
│   ├── altpllpll_bb.v
│   ├── altpllpll.cmp
│   ├── altpllpll.ppf
│   ├── altpllpll.qip
│   ├── altpllpll_sopc.ppf
│   ├── altpllpll_sopc.v
│   ├── altpllpll.v
│   ├── audio_pll_bb.v
│   ├── audio_pll.bsf
│   ├── audio_pll.ppf
│   ├── audio_pll.v
│   ├── audio_pll_wave0.jpg
│   ├── audio_pll_waveforms.html
│   ├── audio.v
│   ├── auido_dac_fifo_wave0.jpg
│   ├── auido_dac_fifo_wave1.jpg
│   ├── auido_dac_fifo_waveforms.html
│   ├── Chain1.cdf
│   ├── CLK_DIV.v
│   ├── clock_0.v
│   ├── clock_1.v
│   ├── cpu_bht_ram.mif
│   ├── cpu_dc_tag_ram.mif
│   ├── cpu_ic_tag_ram.mif
│   ├── cpu_jtag_debug_module_sysclk.v
│   ├── cpu_jtag_debug_module_tck.v
│   ├── cpu_jtag_debug_module.v
│   ├── cpu_jtag_debug_module_wrapper.v
│   ├── cpu_mult_cell.v
│   ├── cpu_ociram_default_contents.mif
│   ├── cpu_oci_test_bench.v
│   ├── cpu.ocp
│   ├── cpu_rf_ram_a.mif
│   ├── cpu_rf_ram_b.mif
│   ├── cpu.sdc
│   ├── cpu_test_bench.v
│   ├── cpu.v
│   ├── db
│   │   ├── DE2P_TOP.db_info
│   │   ├── DE2P_TOP.eco.cdb
│   │   └── DE2P_TOP.map.qmsg
│   ├── DE2_70_TOP.bsf
│   ├── DE2_70_TOP.v
│   ├── DE2P_TOP.asm.rpt
│   ├── DE2P_TOP_assignment_defaults.qdf
│   ├── DE2P_TOP.cdf
│   ├── DE2P_TOP.done
│   ├── DE2P_TOP.dpf
│   ├── DE2P_TOP.fit.rpt
│   ├── DE2P_TOP.fit.smsg
│   ├── DE2P_TOP.fit.summary
│   ├── DE2P_TOP.flow.rpt
│   ├── DE2P_TOP.jdi
│   ├── DE2P_TOP.map.rpt
│   ├── DE2P_TOP.map.smsg
│   ├── DE2P_TOP.map.summary
│   ├── DE2P_TOP.merge.rpt
│   ├── DE2P_TOP.pin
│   ├── DE2P_TOP.pof
│   ├── DE2P_TOP.qpf
│   ├── DE2P_TOP.qsf
│   ├── DE2P_TOP.qws
│   ├── DE2P_TOP.sof
│   ├── DE2P_TOP.tan.rpt
│   ├── DE2P_TOP.tan.summary
│   ├── DE2P_TOP.v
│   ├── DEII_70_SOPC.bsf
│   ├── DEII_70_SOPC_clock_0.v
│   ├── DEII_70_SOPC_clock_1.v
│   ├── DEII_70_SOPC_generation_script
│   ├── DEII_70_SOPC.html
│   ├── DEII_70_SOPC_inst.v
│   ├── DEII_70_SOPC_log.txt
│   ├── DEII_70_SOPC.ptf
│   ├── DEII_70_SOPC.ptf.8.0
│   ├── DEII_70_SOPC.ptf.bak
│   ├── DEII_70_SOPC.ptf.pre_generation_ptf
│   ├── DEII_70_SOPC.qip
│   ├── DEII_70_SOPC_setup_quartus.tcl
│   ├── DEII_70_SOPC_sim
│   │   ├── atail-f.pl
│   │   ├── dummy_file
│   │   ├── jtag_uart_input_mutex.dat
│   │   ├── jtag_uart_input_stream.dat
│   │   ├── jtag_uart_output_stream.dat
│   │   ├── uart_input_data_mutex.dat
│   │   ├── uart_input_data_stream.dat
│   │   └── uart_log_module.txt
│   ├── DEII_70_SOPC.sopc
│   ├── DEII_70_SOPC.sopcinfo
│   ├── DEII_70_SOPC.v
│   ├── demo batch
│   │   ├── audio_bashrc
│   │   ├── audio.bat
│   │   ├── audio.elf
│   │   └── DE2P_TOP.sof
│   ├── i2c_sclk.v
│   ├── i2c_sdat.v
│   ├── i2c.v
│   ├── ic2_sclk.v
│   ├── ip
│   │   ├── TERASIC_AUDIO
│   │   │   ├── hdl
│   │   │   │   ├── AUDIO_ADC.v
│   │   │   │   ├── AUDIO_ADC.v.bak
│   │   │   │   ├── AUDIO_DAC.v
│   │   │   │   ├── AUDIO_DAC.v.bak
│   │   │   │   ├── audio_fifo.v
│   │   │   │   ├── audio_fifo_wave0.jpg
│   │   │   │   ├── audio_fifo_wave1.jpg
│   │   │   │   ├── audio_fifo_waveforms.html
│   │   │   │   ├── AUDIO_IF_hw.tcl
│   │   │   │   ├── AUDIO_IF_hw.tcl~
│   │   │   │   ├── AUDIO_IF_hw.tcl.bak
│   │   │   │   ├── AUDIO_IF.v
│   │   │   │   └── AUDIO_IF.v.bak
│   │   │   └── software
│   │   │   ├── AUDIO.c
│   │   │   ├── AUDIO.h
│   │   │   └── AUDIO_REG.h
│   │   └── TERASIC_SEG7
│   │   ├── hdl
│   │   │   ├── SEG7_IF_hw.tcl
│   │   │   ├── SEG7_IF_hw.tcl.bak
│   │   │   └── SEG7_IF.v
│   │   └── software
│   │   ├── SEG7.c
│   │   └── SEG7.h
│   ├── jtag_uart.v
│   ├── lcd.v
│   ├── onchip_mem.hex
│   ├── onchip_mem.v
│   ├── pio_button.v
│   ├── pio_green_led.v
│   ├── pio_led.v
│   ├── pio_switch.v
│   ├── pll.sdc
│   ├── pll.v
│   ├── prev_cmp_DE2P_TOP.qmsg
│   ├── ram2_wave0.jpg
│   ├── ram2_wave1.jpg
│   ├── ram2_waveforms.html
│   ├── sdram_u1_test_component.v
│   ├── sdram_u1.v
│   ├── sdram_u2_test_component.v
│   ├── sdram_u2.v
│   ├── seg7.v
│   ├── software
│   │   └── project_audio
│   │   ├── altera.components
│   │   ├── audio
│   │   │   ├── application.stf
│   │   │   ├── AUDIO.c
│   │   │   ├── AUDIO.h
│   │   │   ├── AUDIO_REG.h
│   │   │   ├── Debug
│   │   │   │   ├── audio.elf
│   │   │   │   ├── cfi_flash.flash
│   │   │   │   ├── generated_app.sh
│   │   │   │   ├── makefile
│   │   │   │   ├── obj
│   │   │   │   │   ├── AUDIO.d
│   │   │   │   │   ├── AUDIO.o
│   │   │   │   │   ├── debug.d
│   │   │   │   │   ├── debug.o
│   │   │   │   │   ├── I2C.d
│   │   │   │   │   ├── I2C.o
│   │   │   │   │   ├── LCD.d
│   │   │   │   │   ├── LCD.o
│   │   │   │   │   ├── LED.d
│   │   │   │   │   ├── LED.o
│   │   │   │   │   ├── main.d
│   │   │   │   │   ├── main.o
│   │   │   │   │   ├── SEG7.d
│   │   │   │   │   └── SEG7.o
│   │   │   │   └── subdir.mk
│   │   │   ├── debug.c
│   │   │   ├── debug.h
│   │   │   ├── I2C.c
│   │   │   ├── I2C.h
│   │   │   ├── LCD.c
│   │   │   ├── LCD.h
│   │   │   ├── LED.c
│   │   │   ├── LED.h
│   │   │   ├── main.c
│   │   │   ├── main.c.bak
│   │   │   ├── my_includes.h
│   │   │   ├── my_types.h
│   │   │   ├── readme.txt
│   │   │   ├── SEG7.c
│   │   │   └── SEG7.h
│   │   └── audio_syslib
│   │   ├── Debug
│   │   │   ├── crt0.d
│   │   │   ├── crt0.o
│   │   │   ├── libaudio_syslib.a
│   │   │   ├── makefile
│   │   │   ├── obj
│   │   │   │   ├── alt_alarm_start.d
│   │   │   │   ├── alt_alarm_start.o
│   │   │   │   ├── alt_busy_sleep.d
│   │   │   │   ├── alt_busy_sleep.o
│   │   │   │   ├── alt_close.d
│   │   │   │   ├── alt_close.o
│   │   │   │   ├── alt_dcache_flush_all.d
│   │   │   │   ├── alt_dcache_flush_all.o
│   │   │   │   ├── alt_dcache_flush.d
│   │   │   │   ├── alt_dcache_flush.o
│   │   │   │   ├── alt_dev.d
│   │   │   │   ├── alt_dev_llist_insert.d
│   │   │   │   ├── alt_dev_llist_insert.o
│   │   │   │   ├── alt_dev.o
│   │   │   │   ├── alt_dma_rxchan_open.d
│   │   │   │   ├── alt_dma_rxchan_open.o
│   │   │   │   ├── alt_dma_txchan_open.d
│   │   │   │   ├── alt_dma_txchan_open.o
│   │   │   │   ├── alt_do_ctors.d
│   │   │   │   ├── alt_do_ctors.o
│   │   │   │   ├── alt_do_dtors.d
│   │   │   │   ├── alt_do_dtors.o
│   │   │   │   ├── alt_environ.d
│   │   │   │   ├── alt_environ.o
│   │   │   │   ├── alt_env_lock.d
│   │   │   │   ├── alt_env_lock.o
│   │   │   │   ├── altera_avalon_cfi_flash_amd.d
│   │   │   │   ├── altera_avalon_cfi_flash_amd.o
│   │   │   │   ├── altera_avalon_cfi_flash.d
│   │   │   │   ├── altera_avalon_cfi_flash_intel.d
│   │   │   │   ├── altera_avalon_cfi_flash_intel.o
│   │   │   │   ├── altera_avalon_cfi_flash.o
│   │   │   │   ├── altera_avalon_cfi_flash_table.d
│   │   │   │   ├── altera_avalon_cfi_flash_table.o
│   │   │   │   ├── altera_avalon_jtag_uart_fd.d
│   │   │   │   ├── altera_avalon_jtag_uart_fd.o
│   │   │   │   ├── altera_avalon_jtag_uart_init.d
│   │   │   │   ├── altera_avalon_jtag_uart_init.o
│   │   │   │   ├── altera_avalon_jtag_uart_ioctl.d
│   │   │   │   ├── altera_avalon_jtag_uart_ioctl.o
│   │   │   │   ├── altera_avalon_jtag_uart_read.d
│   │   │   │   ├── altera_avalon_jtag_uart_read.o
│   │   │   │   ├── altera_avalon_jtag_uart_write.d
│   │   │   │   ├── altera_avalon_jtag_uart_write.o
│   │   │   │   ├── altera_avalon_lcd_16207.d
│   │   │   │   ├── altera_avalon_lcd_16207_fd.d
│   │   │   │   ├── altera_avalon_lcd_16207_fd.o
│   │   │   │   ├── altera_avalon_lcd_16207.o
│   │   │   │   ├── altera_avalon_sysid.d
│   │   │   │   ├── altera_avalon_sysid.o
│   │   │   │   ├── altera_avalon_timer_sc.d
│   │   │   │   ├── altera_avalon_timer_sc.o
│   │   │   │   ├── altera_avalon_timer_ts.d
│   │   │   │   ├── altera_avalon_timer_ts.o
│   │   │   │   ├── altera_avalon_timer_vars.d
│   │   │   │   ├── altera_avalon_timer_vars.o
│   │   │   │   ├── altera_avalon_uart_fd.d
│   │   │   │   ├── altera_avalon_uart_fd.o
│   │   │   │   ├── altera_avalon_uart_init.d
│   │   │   │   ├── altera_avalon_uart_init.o
│   │   │   │   ├── altera_avalon_uart_ioctl.d
│   │   │   │   ├── altera_avalon_uart_ioctl.o
│   │   │   │   ├── altera_avalon_uart_read.d
│   │   │   │   ├── altera_avalon_uart_read.o
│   │   │   │   ├── altera_avalon_uart_write.d
│   │   │   │   ├── altera_avalon_uart_write.o
│   │   │   │   ├── alt_errno.d
│   │   │   │   ├── alt_errno.o
│   │   │   │   ├── alt_exception_entry.d
│   │   │   │   ├── alt_exception_entry.o
│   │   │   │   ├── alt_exception_muldiv.d
│   │   │   │   ├── alt_exception_muldiv.o
│   │   │   │   ├── alt_exception_trap.d
│   │   │   │   ├── alt_exception_trap.o
│   │   │   │   ├── alt_execve.d
│   │   │   │   ├── alt_execve.o
│   │   │   │   ├── alt_exit.d
│   │   │   │   ├── alt_exit.o
│   │   │   │   ├── alt_fcntl.d
│   │   │   │   ├── alt_fcntl.o
│   │   │   │   ├── alt_fd_lock.d
│   │   │   │   ├── alt_fd_lock.o
│   │   │   │   ├── alt_fd_unlock.d
│   │   │   │   ├── alt_fd_unlock.o
│   │   │   │   ├── alt_find_dev.d
│   │   │   │   ├── alt_find_dev.o
│   │   │   │   ├── alt_find_file.d
│   │   │   │   ├── alt_find_file.o
│   │   │   │   ├── alt_flash_dev.d
│   │   │   │   ├── alt_flash_dev.o
│   │   │   │   ├── alt_fork.d
│   │   │   │   ├── alt_fork.o
│   │   │   │   ├── alt_fs_reg.d
│   │   │   │   ├── alt_fs_reg.o
│   │   │   │   ├── alt_fstat.d
│   │   │   │   ├── alt_fstat.o
│   │   │   │   ├── alt_getchar.d
│   │   │   │   ├── alt_getchar.o
│   │   │   │   ├── alt_get_fd.d
│   │   │   │   ├── alt_get_fd.o
│   │   │   │   ├── alt_getpid.d
│   │   │   │   ├── alt_getpid.o
│   │   │   │   ├── alt_gettod.d
│   │   │   │   ├── alt_gettod.o
│   │   │   │   ├── alt_gmon.d
│   │   │   │   ├── alt_gmon.o
│   │   │   │   ├── alt_icache_flush_all.d
│   │   │   │   ├── alt_icache_flush_all.o
│   │   │   │   ├── alt_icache_flush.d
│   │   │   │   ├── alt_icache_flush.o
│   │   │   │   ├── alt_ioctl.d
│   │   │   │   ├── alt_ioctl.o
│   │   │   │   ├── alt_io_redirect.d
│   │   │   │   ├── alt_io_redirect.o
│   │   │   │   ├── alt_irq_entry.d
│   │   │   │   ├── alt_irq_entry.o
│   │   │   │   ├── alt_irq_handler.d
│   │   │   │   ├── alt_irq_handler.o
│   │   │   │   ├── alt_irq_register.d
│   │   │   │   ├── alt_irq_register.o
│   │   │   │   ├── alt_irq_vars.d
│   │   │   │   ├── alt_irq_vars.o
│   │   │   │   ├── alt_isatty.d
│   │   │   │   ├── alt_isatty.o
│   │   │   │   ├── alt_kill.d
│   │   │   │   ├── alt_kill.o
│   │   │   │   ├── alt_link.d
│   │   │   │   ├── alt_link.o
│   │   │   │   ├── alt_load.d
│   │   │   │   ├── alt_load.o
│   │   │   │   ├── alt_log_macro.d
│   │   │   │   ├── alt_log_macro.o
│   │   │   │   ├── alt_log_printf.d
│   │   │   │   ├── alt_log_printf.o
│   │   │   │   ├── alt_lseek.d
│   │   │   │   ├── alt_lseek.o
│   │   │   │   ├── alt_main.d
│   │   │   │   ├── alt_main.o
│   │   │   │   ├── alt_malloc_lock.d
│   │   │   │   ├── alt_malloc_lock.o
│   │   │   │   ├── alt_mcount.d
│   │   │   │   ├── alt_mcount.o
│   │   │   │   ├── alt_open.d
│   │   │   │   ├── alt_open.o
│   │   │   │   ├── alt_printf.d
│   │   │   │   ├── alt_printf.o
│   │   │   │   ├── alt_putchar.d
│   │   │   │   ├── alt_putchar.o
│   │   │   │   ├── alt_putstr.d
│   │   │   │   ├── alt_putstr.o
│   │   │   │   ├── alt_read.d
│   │   │   │   ├── alt_read.o
│   │   │   │   ├── alt_release_fd.d
│   │   │   │   ├── alt_release_fd.o
│   │   │   │   ├── alt_remap_cached.d
│   │   │   │   ├── alt_remap_cached.o
│   │   │   │   ├── alt_remap_uncached.d
│   │   │   │   ├── alt_remap_uncached.o
│   │   │   │   ├── alt_rename.d
│   │   │   │   ├── alt_rename.o
│   │   │   │   ├── alt_sbrk.d
│   │   │   │   ├── alt_sbrk.o
│   │   │   │   ├── alt_settod.d
│   │   │   │   ├── alt_settod.o
│   │   │   │   ├── alt_software_exception.d
│   │   │   │   ├── alt_software_exception.o
│   │   │   │   ├── alt_stat.d
│   │   │   │   ├── alt_stat.o
│   │   │   │   ├── alt_sys_init.c-t
│   │   │   │   ├── alt_sys_init.d
│   │   │   │   ├── alt_sys_init.o
│   │   │   │   ├── alt_tick.d
│   │   │   │   ├── alt_tick.o
│   │   │   │   ├── alt_times.d
│   │   │   │   ├── alt_times.o
│   │   │   │   ├── alt_uncached_free.d
│   │   │   │   ├── alt_uncached_free.o
│   │   │   │   ├── alt_uncached_malloc.d
│   │   │   │   ├── alt_uncached_malloc.o
│   │   │   │   ├── alt_unlink.d
│   │   │   │   ├── alt_unlink.o
│   │   │   │   ├── alt_usleep.d
│   │   │   │   ├── alt_usleep.o
│   │   │   │   ├── alt_wait.d
│   │   │   │   ├── alt_wait.o
│   │   │   │   ├── alt_write.d
│   │   │   │   ├── alt_write.o
│   │   │   │   ├── generated_all.mk-t
│   │   │   │   ├── generated_app.mk-t
│   │   │   │   ├── generated.gdb-t
│   │   │   │   ├── generated.sh-t
│   │   │   │   ├── generated.x-t
│   │   │   │   └── system.h-t
│   │   │   └── system_description
│   │   │   ├── alt_sys_init.c
│   │   │   ├── generated_all.mk
│   │   │   ├── generated_app.mk
│   │   │   ├── generated.gdb
│   │   │   ├── generated.sh
│   │   │   ├── generated.x
│   │   │   └── system.h
│   │   ├── readme.txt
│   │   └── system.stf
│   ├── sopc_builder_log.txt
│   ├── SOPC_Reset_Delay.v
│   ├── sysid.v
│   ├── TERASIC AUDIO.doc
│   ├── timer_stamp.v
│   ├── timer.v
│   ├── uart.v
│   └── VGA_Param.h
├── DE2_70_def
│   └── DE2_70_def
│   ├── Altera_UP_Avalon_PS2.v
│   ├── Altera_UP_PS2_Command_Out.v
│   ├── Altera_UP_PS2_Data_In.v
│   ├── Altera_UP_PS2.v
│   ├── altpllpll_bb.v
│   ├── altpllpll.cmp
│   ├── altpllpll.ppf
│   ├── altpllpll.qip
│   ├── altpllpll.v
│   ├── AUDIO.v
│   ├── clock_0.v
│   ├── clock_1.v
│   ├── cpu_bht_ram.mif
│   ├── cpu_dc_tag_ram.mif
│   ├── cpu_ic_tag_ram.mif
│   ├── cpu_jtag_debug_module_sysclk.v
│   ├── cpu_jtag_debug_module_tck.v
│   ├── cpu_jtag_debug_module.v
│   ├── cpu_jtag_debug_module_wrapper.v
│   ├── cpu_mult_cell.v
│   ├── cpu_ociram_default_contents.mif
│   ├── cpu_oci_test_bench.v
│   ├── cpu.ocp
│   ├── cpu_rf_ram_a.mif
│   ├── cpu_rf_ram_b.mif
│   ├── cpu.sdc
│   ├── cpu_test_bench.v
│   ├── cpu.v
│   ├── db
│   │   ├── a_dpfifo_8t21.tdf
│   │   ├── a_fefifo_7cf.tdf
│   │   ├── altsyncram_29f1.tdf
│   │   ├── altsyncram_3jb1.tdf
│   │   ├── altsyncram_41g1.tdf
│   │   ├── altsyncram_9tl1.tdf
│   │   ├── altsyncram_9vc1.tdf
│   │   ├── altsyncram_e502.tdf
│   │   ├── altsyncram_lo31.tdf
│   │   ├── altsyncram_p2f1.tdf
│   │   ├── altsyncram_p9f1.tdf
│   │   ├── altsyncram_pkf1.tdf
│   │   ├── altsyncram_q2f1.tdf
│   │   ├── altsyncram_qed1.tdf
│   │   ├── altsyncram_t072.tdf
│   │   ├── cntr_fjb.tdf
│   │   ├── cntr_rj7.tdf
│   │   ├── DE2_70_NET.db_info
│   │   ├── DE2_70_NET.eco.cdb
│   │   ├── DE2_70_NET.sld_design_entry.sci
│   │   ├── decode_aoi.tdf
│   │   ├── ded_mult_2o81.tdf
│   │   ├── dffpipe_93c.tdf
│   │   ├── dpram_5h21.tdf
│   │   ├── mult_add_4cr2.tdf
│   │   ├── mult_add_6cr2.tdf
│   │   ├── prev_cmp_DE2_70_NET.asm.qmsg
│   │   ├── prev_cmp_DE2_70_NET.fit.qmsg
│   │   ├── prev_cmp_DE2_70_NET.map.qmsg
│   │   ├── prev_cmp_DE2_70_NET.tan.qmsg
│   │   └── scfifo_1n21.tdf
│   ├── DE2_70_NET.asm.rpt
│   ├── DE2_70_NET_assignment_defaults.qdf
│   ├── DE2_70_NET.cdf
│   ├── DE2_70_NET.done
│   ├── DE2_70_NET.dpf
│   ├── DE2_70_NET.fit.rpt
│   ├── DE2_70_NET.fit.smsg
│   ├── DE2_70_NET.fit.summary
│   ├── DE2_70_NET.flow.rpt
│   ├── DE2_70_NET.jdi
│   ├── DE2_70_NET.map.rpt
│   ├── DE2_70_NET.map.smsg
│   ├── DE2_70_NET.map.summary
│   ├── DE2_70_NET.pin
│   ├── DE2_70_NET.pof
│   ├── DE2_70_NET.qpf
│   ├── DE2_70_NET.qsf
│   ├── DE2_70_NET.qsf.bak
│   ├── DE2_70_NET.qws
│   ├── DE2_70_NET.sof
│   ├── DE2_70_NET_SOPC.sop
│   ├── DE2_70_NET.tan.rpt
│   ├── DE2_70_NET.tan.summary
│   ├── DE2_70_NET.v
│   ├── DE2_70_NET.v.bak
│   ├── DE2_70_SOPC.bsf
│   ├── DE2_70_SOPC_clock_0.v
│   ├── DE2_70_SOPC_clock_1.v
│   ├── DE2_70_SOPC_generation_script
│   ├── DE2_70_SOPC.html
│   ├── DE2_70_SOPC_inst.v
│   ├── DE2_70_SOPC_log.txt
│   ├── DE2_70_SOPC.ptf
│   ├── DE2_70_SOPC.ptf.8.0
│   ├── DE2_70_SOPC.ptf.bak
│   ├── DE2_70_SOPC.ptf.pre_generation_ptf
│   ├── DE2_70_SOPC.qip
│   ├── DE2_70_SOPC_setup_quartus.tcl
│   ├── DE2_70_SOPC_sim
│   │   ├── atail-f.pl
│   │   ├── dummy_file
│   │   ├── jtag_uart_input_mutex.dat
│   │   ├── jtag_uart_input_stream.dat
│   │   ├── jtag_uart_output_stream.dat
│   │   ├── uart_input_data_mutex.dat
│   │   ├── uart_input_data_stream.dat
│   │   └── uart_log_module.txt
│   ├── DE2_70_SOPC.sopc
│   ├── DE2_70_SOPC.sopcinfo
│   ├── DE2_70_SOPC.v
│   ├── DM9000A_IF_inst.v
│   ├── DM9000A.v
│   ├── epcs_controller_boot_rom.hex
│   ├── epcs_controller.v
│   ├── i2c_sclk.v
│   ├── i2c_sdat.v
│   ├── IP
│   │   ├── TERASIC_AUDIO
│   │   │   ├── hdl
│   │   │   │   ├── AUDIO_ADC.v
│   │   │   │   ├── AUDIO_DAC.v
│   │   │   │   ├── audio_fifo.v
│   │   │   │   ├── audio_fifo_wave0.jpg
│   │   │   │   ├── audio_fifo_wave1.jpg
│   │   │   │   ├── audio_fifo_waveforms.html
│   │   │   │   ├── AUDIO_IF_hw.tcl
│   │   │   │   ├── AUDIO_IF.v
│   │   │   │   └── AUDIO_IF.v.bak
│   │   │   └── software
│   │   │   ├── AUDIO.c
│   │   │   ├── AUDIO.h
│   │   │   └── AUDIO_REG.h
│   │   ├── TERASIC_Binary_VGA_Controller
│   │   │   └── hdl
│   │   │   ├── Binary_VGA_Control_IF_hw.tcl
│   │   │   ├── Binary_VGA_Control_IF_hw.tcl~
│   │   │   ├── Img_DATA.hex
│   │   │   ├── Img_RAM.v
│   │   │   ├── VGA_Controller.v
│   │   │   ├── VGA_NIOS_CTRL.v
│   │   │   ├── VGA_NIOS_CTRL.v.bak
│   │   │   ├── VGA_OSD_RAM.v
│   │   │   └── VGA_Param.h
│   │   ├── TERASIC_DM9000A
│   │   │   ├── hdl
│   │   │   │   ├── DM9000A_IF_hw.tcl
│   │   │   │   ├── DM9000A_IF_hw.tcl~
│   │   │   │   └── DM9000A_IF.v
│   │   │   └── software
│   │   │   ├── DM9000A.C
│   │   │   └── DM9000A.H
│   │   ├── TERASIC_ISP1362
│   │   │   └── hdl
│   │   │   ├── ISP1362_IF_hw.tcl
│   │   │   └── ISP1362_IF.v
│   │   └── TERASIC_SEG7
│   │   ├── hdl
│   │   │   ├── SEG7_IF_hw.tcl
│   │   │   └── SEG7_IF.v
│   │   └── software
│   │   ├── SEG7.c
│   │   └── SEG7.h
│   ├── ISP1362.v
│   ├── jtag_uart.v
│   ├── lcd.v
│   ├── nios.bmp
│   ├── onchip_mem.hex
│   ├── onchip_mem.v
│   ├── pio_button.v
│   ├── pio_green_led.v
│   ├── pio_red_led.v
│   ├── pio_switch.v
│   ├── pll.sdc
│   ├── pll.v
│   ├── prev_cmp_DE2_70_NET.qmsg
│   ├── ps2_keyboard.v
│   ├── ps2_mouse.v
│   ├── Reset_Delay.v
│   ├── sd_clk.v
│   ├── sd_cmd.v
│   ├── sd_dat3.v
│   ├── sd_dat.v
│   ├── sdram_u1_test_component.v
│   ├── sdram_u1.v
│   ├── sdram_u2_test_component.v
│   ├── sdram_u2.v
│   ├── SEG7.v
│   ├── sopc_add_qip_file.tcl
│   ├── sopc_builder_log.txt
│   ├── sysid.v
│   ├── timer_stamp.v
│   ├── timer.v
│   ├── uart.v
│   └── VGA.v
├── DE2_70_TV_PIP
│   ├── AUDIO_DAC.v
│   ├── command.v
│   ├── composite_to_vga.ocp
│   ├── composite_to_vga.v
│   ├── control_interface.v
│   ├── db
│   │   ├── add_sub_lkc.tdf
│   │   ├── add_sub_mkc.tdf
│   │   ├── a_gray2bin_kdb.tdf
│   │   ├── a_graycounter_egc.tdf
│   │   ├── a_graycounter_fgc.tdf
│   │   ├── a_graycounter_o96.tdf
│   │   ├── alt_synch_pipe_rdb.tdf
│   │   ├── alt_synch_pipe_vd8.tdf
│   │   ├── altsyncram_1l81.tdf
│   │   ├── altsyncram_aj81.tdf
│   │   ├── altsyncram_drg1.tdf
│   │   ├── alt_u_div_p1g.tdf
│   │   ├── cmpr_ldc.tdf
│   │   ├── cmpr_p16.tdf
│   │   ├── cntr_hpf.tdf
│   │   ├── dcfifo_0en1.tdf
│   │   ├── DE2_70_TV_PIP.db_info
│   │   ├── DE2_70_TV_PIP.eco.cdb
│   │   ├── DE2_70_TV_PIP.sld_design_entry.sci
│   │   ├── dffpipe_kec.tdf
│   │   ├── dffpipe_ngh.tdf
│   │   ├── dffpipe_oe9.tdf
│   │   ├── dffpipe_pe9.tdf
│   │   ├── dffpipe_qe9.tdf
│   │   ├── logic_util_heursitic.dat
│   │   ├── lpm_divide_d6t.tdf
│   │   ├── mult_1ct.tdf
│   │   ├── mult_vbt.tdf
│   │   ├── mux_1u7.tdf
│   │   ├── shift_taps_4jn.tdf
│   │   └── sign_div_unsign_3li.tdf
│   ├── DE2_70_TV_PIP.asm.rpt
│   ├── DE2_70_TV_PIP_assignment_defaults.qdf
│   ├── DE2_70_TV_PIP.done
│   ├── DE2_70_TV_PIP.fit.rpt
│   ├── DE2_70_TV_PIP.fit.smsg
│   ├── DE2_70_TV_PIP.fit.summary
│   ├── DE2_70_TV_PIP.flow.rpt
│   ├── DE2_70_TV_PIP.jdi
│   ├── DE2_70_TV_PIP.map.rpt
│   ├── DE2_70_TV_PIP.map.smsg
│   ├── DE2_70_TV_PIP.map.summary
│   ├── DE2_70_TV_PIP.pin
│   ├── DE2_70_TV_PIP.pof
│   ├── DE2_70_TV_PIP.qpf
│   ├── DE2_70_TV_PIP.qsf
│   ├── DE2_70_TV_PIP.qws
│   ├── DE2_70_TV_PIP.sof
│   ├── DE2_70_TV_PIP.tan.rpt
│   ├── DE2_70_TV_PIP.tan.summary
│   ├── DE2_70_TV_PIP_time_limited.sof
│   ├── DE2_70_TV_PIP.v
│   ├── DE2P_TOP.v
│   ├── decode_656.v
│   ├── DIV.v
│   ├── I2C_AV_Config.v
│   ├── I2C_Controller.v
│   ├── incremental_db
│   │   ├── compiled_partitions
│   │   │   ├── DE2_70_TV_PIP.autoh_e4eb1.map.cdb
│   │   │   ├── DE2_70_TV_PIP.autoh_e4eb1.map.dpi
│   │   │   ├── DE2_70_TV_PIP.autoh_e4eb1.map.hdb
│   │   │   ├── DE2_70_TV_PIP.autoh_e4eb1.map.kpt
│   │   │   ├── DE2_70_TV_PIP.autoh_e4eb1.map.logdb
│   │   │   ├── DE2_70_TV_PIP.db_info
│   │   │   ├── DE2_70_TV_PIP.nabbo_fd801.map.cdb
│   │   │   ├── DE2_70_TV_PIP.nabbo_fd801.map.dpi
│   │   │   ├── DE2_70_TV_PIP.nabbo_fd801.map.hdb
│   │   │   ├── DE2_70_TV_PIP.nabbo_fd801.map.kpt
│   │   │   ├── DE2_70_TV_PIP.nabbo_fd801.map.logdb
│   │   │   ├── DE2_70_TV_PIP.root_partition.cmp.cdb
│   │   │   ├── DE2_70_TV_PIP.root_partition.cmp.dfp
│   │   │   ├── DE2_70_TV_PIP.root_partition.cmp.hdb
│   │   │   ├── DE2_70_TV_PIP.root_partition.cmp.kpt
│   │   │   ├── DE2_70_TV_PIP.root_partition.cmp.logdb
│   │   │   ├── DE2_70_TV_PIP.root_partition.cmp.rcfdb
│   │   │   ├── DE2_70_TV_PIP.root_partition.cmp.re.rcfdb
│   │   │   ├── DE2_70_TV_PIP.root_partition.hbdb.cdb
│   │   │   ├── DE2_70_TV_PIP.root_partition.map.cdb
│   │   │   ├── DE2_70_TV_PIP.root_partition.map.dpi
│   │   │   ├── DE2_70_TV_PIP.root_partition.map.hdb
│   │   │   └── DE2_70_TV_PIP.root_partition.map.kpt
│   │   └── README
│   ├── ITU_656_Decoder.v
│   ├── LCD_Controller.v
│   ├── LCD_TEST.v
│   ├── Line_Buffer.v
│   ├── old_SEG7_LUT_8.v
│   ├── old_SEG7_LUT.v
│   ├── PipPositionAdj.v
│   ├── pll27.v
│   ├── PLL50.v
│   ├── PLL.v
│   ├── README.txt
│   ├── Reset_Delay.v
│   ├── Sdram_Control_4Port.v
│   ├── Sdram_Params.h
│   ├── Sdram_PLL.v
│   ├── Sdram_RD_FIFO.v
│   ├── Sdram_WR_FIFO.v
│   ├── sdr_data_path.v
│   ├── sopc_builder_log.txt
│   ├── tb_lowpass.vhd
│   ├── TD_Detect.ocp
│   ├── TD_Detect.v
│   ├── TP_RAM.v
│   ├── VGA_Ctrl2.v
│   ├── YCbCr2RGB.v
│   └── YUV422_to_444.v
├── default
│   ├── AUDIO_DAC.v
│   ├── db
│   │   ├── altsyncram_2c12.tdf
│   │   ├── altsyncram_tj42.tdf
│   │   ├── DE2_70_Default.db_info
│   │   ├── DE2_70_Default.eco.cdb
│   │   ├── DE2_70_Default.sld_design_entry.sci
│   │   ├── decode_jpa.tdf
│   │   ├── logic_util_heursitic.dat
│   │   └── mux_3kb.tdf
│   ├── DE2_70_Default_assignment_defaults.qdf
│   ├── DE2_70_Default.flow.rpt
│   ├── DE2_70_Default.map.rpt
│   ├── DE2_70_Default.map.smsg
│   ├── DE2_70_Default.map.summary
│   ├── DE2_70_Default.pin
│   ├── DE2_70_Default.pof
│   ├── DE2_70_Default.qpf
│   ├── DE2_70_Default.qsf
│   ├── DE2_70_Default.qws
│   ├── DE2_70_Default.sof
│   ├── DE2_70_Default.v
│   ├── DE2_70_flash_word_tester.v
│   ├── Flash_Command.h
│   ├── Flash_Controller.v
│   ├── flash_default_tester.v
│   ├── flash_writer.v
│   ├── LCD_Controller.v
│   ├── LCD_TEST.v
│   ├── LCD.V
│   ├── old_I2C_AV_Config.v
│   ├── old_I2C_Controller.v
│   ├── old_Reset_Delay.v
│   ├── old_SEG7_LUT_8.v
│   ├── old_SEG7_LUT.v
│   ├── Reset_Delay.v
│   ├── sopc_builder_log.txt
│   ├── VGA_Audio_PLL.v
│   └── VGA_Controller
│   ├── Img_DATA.hex
│   ├── Img_RAM.bsf
│   ├── Img_RAM.v
│   ├── VGA_Controller.v
│   ├── VGA_Controller.v.bak
│   ├── VGA_OSD_RAM.v
│   ├── VGA_OSD_RAM.v.bak
│   └── VGA_Param.h
├── i2sound
│   ├── CLOCK_500.bsf
│   ├── CLOCK_500.v
│   ├── db
│   │   ├── DE2_70_i2sound.db_info
│   │   ├── DE2_70_i2sound.eco.cdb
│   │   └── DE2_70_i2sound.sld_design_entry.sci
│   ├── DE2_70_i2sound.asm.rpt
│   ├── DE2_70_i2sound_assignment_defaults.qdf
│   ├── DE2_70_i2sound.bdf
│   ├── DE2_70_i2sound.done
│   ├── DE2_70_i2sound.map.rpt
│   ├── DE2_70_i2sound.pin
│   ├── DE2_70_i2sound.pof
│   ├── DE2_70_i2sound.qpf
│   ├── DE2_70_i2sound.qsf
│   ├── DE2_70_i2sound.qws
│   ├── DE2_70_i2sound.sof
│   ├── DE2_70_i2sound.tan.summary
│   ├── i2c.bsf
│   ├── i2c.v
│   ├── keytr.bsf
│   ├── keytr.v
│   ├── README.txt
│   └── sopc_builder_log.txt
├── NET
│   ├── Altera_UP_Avalon_PS2.v
│   ├── Altera_UP_PS2_Command_Out.v
│   ├── Altera_UP_PS2_Data_In.v
│   ├── Altera_UP_PS2.v
│   ├── altpllpll.bsf
│   ├── altpllpll.ppf
│   ├── altpllpll.v
│   ├── AUDIO.v
│   ├── clock_0.v
│   ├── clock_1.v
│   ├── cpu_bht_ram.mif
│   ├── cpu_dc_tag_ram.mif
│   ├── cpu_ic_tag_ram.mif
│   ├── cpu_jtag_debug_module.v
│   ├── cpu_jtag_debug_module_wrapper.v
│   ├── cpu_mult_cell.v
│   ├── cpu_ociram_default_contents.mif
│   ├── cpu.ocp
│   ├── cpu_rf_ram_a.mif
│   ├── cpu_rf_ram_b.mif
│   ├── cpu_test_bench.v
│   ├── cpu.v
│   ├── db
│   │   ├── a_dpfifo_8t21.tdf
│   │   ├── a_dpfifo_gj31.tdf
│   │   ├── a_fefifo_7cf.tdf
│   │   ├── a_graycounter_6fc.tdf
│   │   ├── a_graycounter_7fc.tdf
│   │   ├── a_graycounter_g86.tdf
│   │   ├── alt_synch_pipe_jcb.tdf
│   │   ├── alt_synch_pipe_nc8.tdf
│   │   ├── altsyncram_3jb1.tdf
│   │   ├── altsyncram_9ku.tdf
│   │   ├── altsyncram_9tl1.tdf
│   │   ├── altsyncram_abn1.tdf
│   │   ├── altsyncram_b4e1.tdf
│   │   ├── altsyncram_chp1.tdf
│   │   ├── altsyncram_cub1.tdf
│   │   ├── altsyncram_e502.tdf
│   │   ├── altsyncram_k1l1.tdf
│   │   ├── altsyncram_l6e1.tdf
│   │   ├── altsyncram_lde1.tdf
│   │   ├── altsyncram_lg91.tdf
│   │   ├── altsyncram_m6e1.tdf
│   │   ├── altsyncram_mbj1.tdf
│   │   ├── altsyncram_mge1.tdf
│   │   ├── altsyncram_p132.tdf
│   │   ├── altsyncram_reb1.tdf
│   │   ├── altsyncram_rqd1.tdf
│   │   ├── altsyncram_t072.tdf
│   │   ├── altsyncram_uce1.tdf
│   │   ├── altsyncram_upv1.tdf
│   │   ├── cmpr_3o8.tdf
│   │   ├── cmpr_o16.tdf
│   │   ├── cmpr_p16.tdf
│   │   ├── cntr_e5b.tdf
│   │   ├── cntr_f5b.tdf
│   │   ├── cntr_fjb.tdf
│   │   ├── cntr_r57.tdf
│   │   ├── cntr_rj7.tdf
│   │   ├── dcfifo_6uj1.tdf
│   │   ├── DE2_70_NET.db_info
│   │   ├── DE2_70_NET.eco.cdb
│   │   ├── DE2_70_NET.sld_design_entry.sci
│   │   ├── decode_1qa.tdf
│   │   ├── ded_mult_2o81.tdf
│   │   ├── dffpipe_93c.tdf
│   │   ├── dffpipe_hd9.tdf
│   │   ├── dffpipe_id9.tdf
│   │   ├── dffpipe_ngh.tdf
│   │   ├── dpram_5h21.tdf
│   │   ├── logic_util_heursitic.dat
│   │   ├── mult_add_4cr2.tdf
│   │   ├── mult_add_6cr2.tdf
│   │   ├── mux_1u7.tdf
│   │   ├── mux_akb.tdf
│   │   ├── mux_hkb.tdf
│   │   ├── prev_cmp_DE2_70_NET.qmsg
│   │   ├── scfifo_1n21.tdf
│   │   └── scfifo_tr31.tdf
│   ├── DE2_70_NET.asm.rpt
│   ├── DE2_70_NET_assignment_defaults.qdf
│   ├── DE2_70_NET.cdf
│   ├── DE2_70_NET.done
│   ├── DE2_70_NET.dpf
│   ├── DE2_70_NET.fit.rpt
│   ├── DE2_70_NET.fit.smsg
│   ├── DE2_70_NET.fit.summary
│   ├── DE2_70_NET.flow.rpt
│   ├── DE2_70_NET.jdi
│   ├── DE2_70_NET.map.rpt
│   ├── DE2_70_NET.map.smsg
│   ├── DE2_70_NET.map.summary
│   ├── DE2_70_NET.pin
│   ├── DE2_70_NET.pof
│   ├── DE2_70_NET.qpf
│   ├── DE2_70_NET.qsf
│   ├── DE2_70_NET.qsf.bak
│   ├── DE2_70_NET.qws
│   ├── DE2_70_NET.sof
│   ├── DE2_70_NET_SOPC.sop
│   ├── DE2_70_NET.tan.rpt
│   ├── DE2_70_NET.tan.summary
│   ├── DE2_70_NET.v
│   ├── DE2_70_NET.v.bak
│   ├── DE2_70_SOPC.bsf
│   ├── DE2_70_SOPC_generation_script
│   ├── DE2_70_SOPC_log.txt
│   ├── DE2_70_SOPC.ptf
│   ├── DE2_70_SOPC.ptf.bak
│   ├── DE2_70_SOPC.ptf.pre_generation_ptf
│   ├── DE2_70_SOPC.qip
│   ├── DE2_70_SOPC_setup_quartus.tcl
│   ├── DE2_70_SOPC_sim
│   │   ├── atail-f.pl
│   │   ├── dummy_file
│   │   ├── jtag_uart_input_mutex.dat
│   │   ├── jtag_uart_input_stream.dat
│   │   ├── jtag_uart_output_stream.dat
│   │   ├── uart_input_data_mutex.dat
│   │   ├── uart_input_data_stream.dat
│   │   └── uart_log_module.txt
│   ├── DE2_70_SOPC.sopc
│   ├── DE2_70_SOPC.v
│   ├── DM9000A_IF_inst.v
│   ├── DM9000A.v
│   ├── i2c_sclk.v
│   ├── i2c_sdat.v
│   ├── incremental_db
│   │   ├── compiled_partitions
│   │   │   ├── DE2_70_NET.autoh_e4eb1.map.cdb
│   │   │   ├── DE2_70_NET.autoh_e4eb1.map.dpi
│   │   │   ├── DE2_70_NET.autoh_e4eb1.map.hdb
│   │   │   ├── DE2_70_NET.autoh_e4eb1.map.kpt
│   │   │   ├── DE2_70_NET.autoh_e4eb1.map.logdb
│   │   │   ├── DE2_70_NET.db_info
│   │   │   ├── DE2_70_NET.root_partition.cmp.cdb
│   │   │   ├── DE2_70_NET.root_partition.cmp.dfp
│   │   │   ├── DE2_70_NET.root_partition.cmp.hdb
│   │   │   ├── DE2_70_NET.root_partition.cmp.kpt
│   │   │   ├── DE2_70_NET.root_partition.cmp.logdb
│   │   │   ├── DE2_70_NET.root_partition.cmp.rcfdb
│   │   │   ├── DE2_70_NET.root_partition.cmp.re.rcfdb
│   │   │   ├── DE2_70_NET.root_partition.map.cdb
│   │   │   ├── DE2_70_NET.root_partition.map.dpi
│   │   │   ├── DE2_70_NET.root_partition.map.hdb
│   │   │   └── DE2_70_NET.root_partition.map.kpt
│   │   └── README
│   ├── IP
│   │   ├── TERASIC_AUDIO
│   │   │   ├── hdl
│   │   │   │   ├── AUDIO_ADC.v
│   │   │   │   ├── AUDIO_DAC.v
│   │   │   │   ├── audio_fifo.v
│   │   │   │   ├── audio_fifo_wave0.jpg
│   │   │   │   ├── audio_fifo_wave1.jpg
│   │   │   │   ├── audio_fifo_waveforms.html
│   │   │   │   ├── AUDIO_IF_hw.tcl
│   │   │   │   ├── AUDIO_IF.v
│   │   │   │   └── AUDIO_IF.v.bak
│   │   │   └── software
│   │   │   ├── AUDIO.c
│   │   │   ├── AUDIO.h
│   │   │   └── AUDIO_REG.h
│   │   ├── TERASIC_Binary_VGA_Controller
│   │   │   └── hdl
│   │   │   ├── Binary_VGA_Control_IF_hw.tcl
│   │   │   ├── Binary_VGA_Control_IF_hw.tcl~
│   │   │   ├── Img_DATA.hex
│   │   │   ├── Img_RAM.v
│   │   │   ├── VGA_Controller.v
│   │   │   ├── VGA_NIOS_CTRL.v
│   │   │   ├── VGA_NIOS_CTRL.v.bak
│   │   │   ├── VGA_OSD_RAM.v
│   │   │   └── VGA_Param.h
│   │   ├── TERASIC_DM9000A
│   │   │   ├── hdl
│   │   │   │   ├── DM9000A_IF_hw.tcl
│   │   │   │   ├── DM9000A_IF_hw.tcl~
│   │   │   │   └── DM9000A_IF.v
│   │   │   └── software
│   │   │   ├── DM9000A.C
│   │   │   └── DM9000A.H
│   │   ├── TERASIC_ISP1362
│   │   │   └── hdl
│   │   │   ├── ISP1362_IF_hw.tcl
│   │   │   └── ISP1362_IF.v
│   │   └── TERASIC_SEG7
│   │   ├── hdl
│   │   │   ├── SEG7_IF_hw.tcl
│   │   │   └── SEG7_IF.v
│   │   └── software
│   │   ├── SEG7.c
│   │   └── SEG7.h
│   ├── ISP1362.v
│   ├── jtag_uart.v
│   ├── lcd.v
│   ├── onchip_mem.hex
│   ├── onchip_mem.v
│   ├── pio_button.v
│   ├── pio_green_led.v
│   ├── pio_red_led.v
│   ├── pio_switch.v
│   ├── pll.v
│   ├── prev_cmp_DE2_70_NET.qmsg
│   ├── ps2_keyboard.v
│   ├── ps2_mouse.v
│   ├── Reset_Delay.v
│   ├── sd_clk.v
│   ├── sd_cmd.v
│   ├── sd_dat3.v
│   ├── sd_dat.v
│   ├── sdram_u1_test_component.v
│   ├── sdram_u1.v
│   ├── sdram_u2_test_component.v
│   ├── sdram_u2.v
│   ├── SEG7.v
│   ├── Software
│   │   ├── altera.components
│   │   ├── DE2_70_NET
│   │   │   ├── application.stf
│   │   │   ├── basic_io.h
│   │   │   ├── DE2_70_NET.c
│   │   │   ├── DM9000A.C
│   │   │   ├── DM9000A.H
│   │   │   ├── LCD.c
│   │   │   ├── LCD.h
│   │   │   ├── my_includes.h
│   │   │   ├── readme.txt
│   │   │   ├── SEG7.c
│   │   │   ├── SEG7.h
│   │   │   ├── Test.c
│   │   │   └── Test.h
│   │   └── DE2_70_NET_syslib
│   │   ├── readme.txt
│   │   └── system.stf
│   ├── sopc_add_qip_file.tcl
│   ├── sopc_builder_log.txt
│   ├── sysid.v
│   ├── timer_stamp.v
│   ├── timer.v
│   ├── uart.v
│   └── VGA.v
├── nios_host
│   ├── Altera_UP_Avalon_PS2.v
│   ├── Altera_UP_PS2_Command_Out.v
│   ├── Altera_UP_PS2_Data_In.v
│   ├── Altera_UP_PS2.v
│   ├── altpllpll.bsf
│   ├── altpllpll.ppf
│   ├── altpllpll.v
│   ├── AUDIO.v
│   ├── clock_0.v
│   ├── clock_1.v
│   ├── cpu_bht_ram.mif
│   ├── cpu_dc_tag_ram.mif
│   ├── cpu_ic_tag_ram.mif
│   ├── cpu_jtag_debug_module.v
│   ├── cpu_jtag_debug_module_wrapper.v
│   ├── cpu_mult_cell.v
│   ├── cpu_ociram_default_contents.mif
│   ├── cpu.ocp
│   ├── cpu_rf_ram_a.mif
│   ├── cpu_rf_ram_b.mif
│   ├── cpu_test_bench.v
│   ├── cpu.v
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.asm.rpt
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA_assignment_defaults.qdf
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.cdf
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.done
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.dpf
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.fit.rpt
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.fit.smsg
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.fit.summary
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.flow.rpt
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.jdi
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.map.rpt
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.map.smsg
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.map.summary
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.pin
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.pof
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.qpf
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.qsf
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.qws
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.sof
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.tan.rpt
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.tan.summary
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.v
│   ├── DE2_70_NIOS_HOST_MOUSE_VGA.v.bak
│   ├── DE2_70_SOPC.bsf
│   ├── DE2_70_SOPC_generation_script
│   ├── DE2_70_SOPC_log.txt
│   ├── DE2_70_SOPC.ptf
│   ├── DE2_70_SOPC.ptf.bak
│   ├── DE2_70_SOPC.ptf.pre_generation_ptf
│   ├── DE2_70_SOPC.qip
│   ├── DE2_70_SOPC_setup_quartus.tcl
│   ├── DE2_70_SOPC_sim
│   │   ├── atail-f.pl
│   │   ├── dummy_file
│   │   ├── jtag_uart_input_mutex.dat
│   │   ├── jtag_uart_input_stream.dat
│   │   ├── jtag_uart_output_stream.dat
│   │   ├── uart_input_data_mutex.dat
│   │   ├── uart_input_data_stream.dat
│   │   └── uart_log_module.txt
│   ├── DE2_70_SOPC.sopc
│   ├── DE2_70_SOPC.v
│   ├── DM9000A.v
│   ├── i2c_sclk.v
│   ├── i2c_sdat.v
│   ├── IP
│   │   ├── TERASIC_AUDIO
│   │   │   ├── hdl
│   │   │   │   ├── AUDIO_ADC.v
│   │   │   │   ├── AUDIO_DAC.v
│   │   │   │   ├── audio_fifo.v
│   │   │   │   ├── audio_fifo_wave0.jpg
│   │   │   │   ├── audio_fifo_wave1.jpg
│   │   │   │   ├── audio_fifo_waveforms.html
│   │   │   │   ├── AUDIO_IF_hw.tcl
│   │   │   │   ├── AUDIO_IF.v
│   │   │   │   └── AUDIO_IF.v.bak
│   │   │   └── software
│   │   │   ├── AUDIO.c
│   │   │   ├── AUDIO.h
│   │   │   └── AUDIO_REG.h
│   │   ├── TERASIC_Binary_VGA_Controller
│   │   │   ├── hdl
│   │   │   │   ├── Binary_VGA_Control_IF_hw.tcl
│   │   │   │   ├── Binary_VGA_Control_IF_hw.tcl~
│   │   │   │   ├── Img_DATA.hex
│   │   │   │   ├── Img_RAM.v
│   │   │   │   ├── VGA_Controller.v
│   │   │   │   ├── VGA_NIOS_CTRL.v
│   │   │   │   ├── VGA_NIOS_CTRL.v.bak
│   │   │   │   ├── VGA_OSD_RAM.v
│   │   │   │   └── VGA_Param.h
│   │   │   └── software
│   │   │   ├── VGA.c
│   │   │   └── VGA.h
│   │   ├── TERASIC_DM9000A
│   │   │   ├── hdl
│   │   │   │   ├── DM9000A_IF_hw.tcl
│   │   │   │   └── DM9000A_IF.v
│   │   │   └── software
│   │   │   ├── DM9000A.C
│   │   │   └── DM9000A.H
│   │   ├── TERASIC_ISP1362
│   │   │   ├── hdl
│   │   │   │   ├── ISP1362_IF_hw.tcl
│   │   │   │   └── ISP1362_IF.v
│   │   │   └── software
│   │   │   ├── BASICTYP.h
│   │   │   ├── COMMON.h
│   │   │   ├── HAL4D13.c
│   │   │   ├── HAL4D13.h
│   │   │   ├── ISP1362_HAL.h
│   │   │   ├── usb_irq.c
│   │   │   └── usb_irq.h
│   │   └── TERASIC_SEG7
│   │   ├── hdl
│   │   │   ├── SEG7_IF_hw.tcl
│   │   │   └── SEG7_IF.v
│   │   └── software
│   │   ├── SEG7.c
│   │   └── SEG7.h
│   ├── ISP1362.v
│   ├── jtag_uart.v
│   ├── lcd.v
│   ├── onchip_mem.hex
│   ├── onchip_mem.v
│   ├── pio_button.v
│   ├── pio_green_led.v
│   ├── pio_red_led.v
│   ├── pio_switch.v
│   ├── pll.v
│   ├── ps2_keyboard.v
│   ├── ps2_mouse.v
│   ├── Reset_Delay.v
│   ├── sd_clk.v
│   ├── sd_cmd.v
│   ├── sd_dat3.v
│   ├── sd_dat.v
│   ├── sdram_u1_test_component.v
│   ├── sdram_u1.v
│   ├── sdram_u2_test_component.v
│   ├── sdram_u2.v
│   ├── SEG7.v
│   ├── Software
│   │   ├── altera.components
│   │   ├── DE2_70_NIOS_HOST_MOUSE_VGA
│   │   │   ├── application.stf
│   │   │   ├── basic_io.h
│   │   │   ├── BUF_MAN.c
│   │   │   ├── BUF_MAN.h
│   │   │   ├── CHEEYU.c
│   │   │   ├── CHEEYU.h
│   │   │   ├── D13BUS.h
│   │   │   ├── DE2_70_NIOS_HOST_MOUSE_VGA.c
│   │   │   ├── HAL4D13.c
│   │   │   ├── ISA290.h
│   │   │   ├── LCD.c
│   │   │   ├── LCD.h
│   │   │   ├── MAINLOOP.h
│   │   │   ├── MOUSE.c
│   │   │   ├── MOUSE.h
│   │   │   ├── nios2-terminal.exe.stackdump
│   │   │   ├── PORT.c
│   │   │   ├── PORT.h
│   │   │   ├── PTD.c
│   │   │   ├── PTD.h
│   │   │   ├── readme.txt
│   │   │   ├── REG.c
│   │   │   ├── REG.h
│   │   │   ├── SEG7.c
│   │   │   ├── SEG7.h
│   │   │   ├── Test.c
│   │   │   ├── Test.h
│   │   │   ├── USB.c
│   │   │   ├── USB.h
│   │   │   ├── usb_irq.c
│   │   │   └── VGA.c
│   │   └── DE2_70_NIOS_HOST_MOUSE_VGA_syslib
│   │   ├── readme.txt
│   │   └── system.stf
│   ├── sopc_add_qip_file.tcl
│   ├── sopc_builder_log.txt
│   ├── sysid.v
│   ├── timer_stamp.v
│   ├── timer.v
│   ├── uart.v
│   └── VGA.v
├── sd_card
│   ├── altpllpll.ppf
│   ├── altpllpll.v
│   ├── altpllpll_wave0.jpg
│   ├── altpllpll_waveforms.html
│   ├── AUDIO.v
│   ├── clock_0.v
│   ├── clock_1.v
│   ├── clock_2.v
│   ├── cpu_bht_ram.mif
│   ├── cpu_dc_tag_ram.mif
│   ├── cpu_ext_trace_pll_module.v
│   ├── cpu_ic_tag_ram.mif
│   ├── cpu_jtag_debug_module.v
│   ├── cpu_jtag_debug_module_wrapper.v
│   ├── cpu_mult_cell.v
│   ├── cpu_ociram_default_contents.mif
│   ├── cpu.ocp
│   ├── cpu_rf_ram_a.mif
│   ├── cpu_rf_ram_b.mif
│   ├── cpu_test_bench.v
│   ├── cpu.v
│   ├── DE2_70_SD_Card_Audio_Player
│   │   ├── application.stf
│   │   ├── AUDIO.c
│   │   ├── AUDIO.h
│   │   ├── Debug
│   │   │   ├── DE2_70_SD_Card_Audio_Player.elf
│   │   │   ├── generated_app.sh
│   │   │   ├── makefile
│   │   │   ├── nios2_common_lib
│   │   │   │   └── subdir.mk
│   │   │   ├── obj
│   │   │   │   ├── AUDIO.d
│   │   │   │   ├── AUDIO.o
│   │   │   │   ├── main.d
│   │   │   │   ├── main.o
│   │   │   │   └── nios2_common_lib
│   │   │   │   ├── debug.d
│   │   │   │   ├── debug.o
│   │   │   │   ├── FatFileSystem.d
│   │   │   │   ├── FatFileSystem.o
│   │   │   │   ├── I2C.d
│   │   │   │   ├── I2C.o
│   │   │   │   ├── LCD.d
│   │   │   │   ├── LCD.o
│   │   │   │   ├── LED.d
│   │   │   │   ├── LED.o
│   │   │   │   ├── SDCardDriver.d
│   │   │   │   ├── SDCardDriver.o
│   │   │   │   ├── SEG7.d
│   │   │   │   ├── SEG7.o
│   │   │   │   ├── WaveLib.d
│   │   │   │   └── WaveLib.o
│   │   │   └── subdir.mk
│   │   ├── main.c
│   │   ├── nios2_common_lib
│   │   │   ├── debug.c
│   │   │   ├── debug.h
│   │   │   ├── FatFileSystem.c
│   │   │   ├── FatFileSystem.h
│   │   │   ├── FatInternal.h
│   │   │   ├── I2C.c
│   │   │   ├── I2C.h
│   │   │   ├── LCD.c
│   │   │   ├── LCD.h
│   │   │   ├── LED.c
│   │   │   ├── LED.h
│   │   │   ├── my_includes.h
│   │   │   ├── my_types.h
│   │   │   ├── SDCardDriver.c
│   │   │   ├── SDCardDriver.h
│   │   │   ├── SEG7.c
│   │   │   ├── SEG7.h
│   │   │   ├── WaveLib.c
│   │   │   └── WaveLib.h
│   │   └── readme.txt
│   ├── DE2_70_SD_Card_Audio_Player.asm.rpt
│   ├── DE2_70_SD_Card_Audio_Player_assignment_defaults.qdf
│   ├── DE2_70_SD_Card_Audio_Player.cdf
│   ├── DE2_70_SD_Card_Audio_Player.done
│   ├── DE2_70_SD_Card_Audio_Player.fit.rpt
│   ├── DE2_70_SD_Card_Audio_Player.fit.smsg
│   ├── DE2_70_SD_Card_Audio_Player.fit.summary
│   ├── DE2_70_SD_Card_Audio_Player.flow.rpt
│   ├── DE2_70_SD_Card_Audio_Player.jdi
│   ├── DE2_70_SD_Card_Audio_Player.map.rpt
│   ├── DE2_70_SD_Card_Audio_Player.map.smsg
│   ├── DE2_70_SD_Card_Audio_Player.map.summary
│   ├── DE2_70_SD_Card_Audio_Player.pin
│   ├── DE2_70_SD_Card_Audio_Player.pof
│   ├── DE2_70_SD_Card_Audio_Player.qpf
│   ├── DE2_70_SD_Card_Audio_Player.qsf
│   ├── DE2_70_SD_Card_Audio_Player.qsf.bak
│   ├── DE2_70_SD_Card_Audio_Player.qws
│   ├── DE2_70_SD_Card_Audio_Player.sof
│   ├── DE2_70_SD_Card_Audio_Player.tan.rpt
│   ├── DE2_70_SD_Card_Audio_Player.tan.summary
│   ├── DE2_70_SD_Card_Audio_Player.v
│   ├── DE2_70_SD_Card_Audio_Player.v.bak
│   ├── debug_pll.ppf
│   ├── debug_pll.v
│   ├── debug_pll_wave0.jpg
│   ├── debug_pll_waveforms.html
│   ├── demo batch
│   │   ├── DE2_70_SD_Card_Audio_Player_bashrc
│   │   ├── DE2_70_SD_Card_Audio_Player.bat
│   │   ├── DE2_70_SD_Card_Audio_Player.elf
│   │   └── DE2_70_SD_Card_Audio_Player.sof
│   ├── i2c_sclk.v
│   ├── i2c_sdat.v
│   ├── IP
│   │   ├── TERASIC_AUDIO
│   │   │   ├── hdl
│   │   │   │   ├── AUDIO_ADC.v
│   │   │   │   ├── AUDIO_ADC.v.bak
│   │   │   │   ├── AUDIO_DAC.v
│   │   │   │   ├── AUDIO_DAC.v.bak
│   │   │   │   ├── audio_fifo.v
│   │   │   │   ├── audio_fifo_wave0.jpg
│   │   │   │   ├── audio_fifo_wave1.jpg
│   │   │   │   ├── audio_fifo_waveforms.html
│   │   │   │   ├── AUDIO_IF_hw.tcl
│   │   │   │   ├── AUDIO_IF_hw.tcl~
│   │   │   │   ├── AUDIO_IF_hw.tcl.bak
│   │   │   │   ├── AUDIO_IF.v
│   │   │   │   └── AUDIO_IF.v.bak
│   │   │   └── software
│   │   │   ├── AUDIO.c
│   │   │   ├── AUDIO.h
│   │   │   └── AUDIO_REG.h
│   │   ├── TERASIC_Binary_VGA_Controller
│   │   │   ├── hdl
│   │   │   │   ├── Binary_VGA_Control_IF_hw.tcl
│   │   │   │   ├── Binary_VGA_Control_IF_hw.tcl~
│   │   │   │   ├── Img_DATA.hex
│   │   │   │   ├── Img_RAM.v
│   │   │   │   ├── VGA_Controller.v
│   │   │   │   ├── VGA_NIOS_CTRL.v
│   │   │   │   ├── VGA_NIOS_CTRL.v.bak
│   │   │   │   ├── VGA_OSD_RAM.v
│   │   │   │   └── VGA_Param.h
│   │   │   └── software
│   │   │   ├── VGA.c
│   │   │   └── VGA.h
│   │   ├── TERASIC_DM9000A
│   │   │   ├── hdl
│   │   │   │   ├── DM9000A_IF_hw.tcl
│   │   │   │   └── DM9000A_IF.v
│   │   │   └── software
│   │   │   ├── DM9000A.C
│   │   │   └── DM9000A.H
│   │   ├── TERASIC_ISP1362
│   │   │   ├── hdl
│   │   │   │   ├── ISP1362_IF_hw.tcl
│   │   │   │   └── ISP1362_IF.v
│   │   │   └── software
│   │   │   ├── BASICTYP.h
│   │   │   ├── COMMON.h
│   │   │   ├── HAL4D13.c
│   │   │   ├── HAL4D13.h
│   │   │   ├── ISP1362_HAL.h
│   │   │   ├── usb_irq.c
│   │   │   └── usb_irq.h
│   │   └── TERASIC_SEG7
│   │   ├── hdl
│   │   │   ├── SEG7_IF_hw.tcl
│   │   │   └── SEG7_IF.v
│   │   └── software
│   │   ├── SEG7.c
│   │   └── SEG7.h
│   ├── ISP1362.v
│   ├── jtag_uart.v
│   ├── lcd.v
│   ├── onchip_mem.hex
│   ├── onchip_mem.v
│   ├── pio_button.v
│   ├── pio_green_led.v
│   ├── pio_red_led.v
│   ├── pio_seg7_a.v
│   ├── pio_seg7_b.v
│   ├── pio_switch.v
│   ├── pll.v
│   ├── prev_cmp_DE2_70_SD_Card_Audio_Player.qmsg
│   ├── Reset_Delay.v
│   ├── sd_clk.v
│   ├── sd_cmd.v
│   ├── sd_dat3.v
│   ├── sd_dat.v
│   ├── sdram_8M_test_component.v
│   ├── sdram_8M.v
│   ├── sdram_u1_test_component.v
│   ├── sdram_u1.v
│   ├── sdram_u2_test_component.v
│   ├── sdram_u2.v
│   ├── SEG7.v
│   ├── SignalTap_PLL.ppf
│   ├── SignalTap_PLL.v
│   ├── SignalTap_PLL_wave0.jpg
│   ├── SignalTap_PLL_waveforms.html
│   ├── Software
│   │   ├── altera.components
│   │   ├── DE2_70_SD_Card_Audio_Player
│   │   │   ├── application.stf
│   │   │   ├── Debug
│   │   │   │   ├── cfi_flash.flash
│   │   │   │   ├── DE2_70_SD_Card_Audio_Player.elf
│   │   │   │   ├── generated_app.sh
│   │   │   │   ├── makefile
│   │   │   │   ├── obj
│   │   │   │   │   ├── main.d
│   │   │   │   │   ├── main.o
│   │   │   │   │   └── terasic_lib
│   │   │   │   │   ├── AUDIO.d
│   │   │   │   │   ├── AUDIO.o
│   │   │   │   │   ├── debug.d
│   │   │   │   │   ├── debug.o
│   │   │   │   │   ├── FatFileSystem.d
│   │   │   │   │   ├── FatFileSystem.o
│   │   │   │   │   ├── I2C.d
│   │   │   │   │   ├── I2C.o
│   │   │   │   │   ├── LCD.d
│   │   │   │   │   ├── LCD.o
│   │   │   │   │   ├── LED.d
│   │   │   │   │   ├── LED.o
│   │   │   │   │   ├── SDCardDriver.d
│   │   │   │   │   ├── SDCardDriver.o
│   │   │   │   │   ├── SEG7.d
│   │   │   │   │   ├── SEG7.o
│   │   │   │   │   ├── WaveLib.d
│   │   │   │   │   └── WaveLib.o
│   │   │   │   ├── subdir.mk
│   │   │   │   └── terasic_lib
│   │   │   │   └── subdir.mk
│   │   │   ├── main.c
│   │   │   ├── main.c.bak
│   │   │   ├── readme.txt
│   │   │   └── terasic_lib
│   │   │   ├── AUDIO.c
│   │   │   ├── AUDIO.h
│   │   │   ├── AUDIO_REG.h
│   │   │   ├── debug.c
│   │   │   ├── debug.h
│   │   │   ├── FatFileSystem.c
│   │   │   ├── FatFileSystem.h
│   │   │   ├── FatInternal.h
│   │   │   ├── I2C.c
│   │   │   ├── I2C.h
│   │   │   ├── LCD.c
│   │   │   ├── LCD.h
│   │   │   ├── LED.c
│   │   │   ├── LED.h
│   │   │   ├── my_includes.h
│   │   │   ├── my_types.h
│   │   │   ├── SDCardDriver.c
│   │   │   ├── SDCardDriver.h
│   │   │   ├── SEG7.c
│   │   │   ├── SEG7.h
│   │   │   ├── WaveLib.c
│   │   │   └── WaveLib.h
│   │   └── DE2_70_SD_Card_Audio_Player_syslib_0
│   │   ├── Debug
│   │   │   ├── crt0.d
│   │   │   ├── crt0.o
│   │   │   ├── libDE2_70_SD_Card_Audio_Player_syslib_0.a
│   │   │   ├── makefile
│   │   │   ├── obj
│   │   │   │   ├── alt_alarm_start.d
│   │   │   │   ├── alt_alarm_start.o
│   │   │   │   ├── alt_busy_sleep.d
│   │   │   │   ├── alt_busy_sleep.o
│   │   │   │   ├── alt_close.d
│   │   │   │   ├── alt_close.o
│   │   │   │   ├── alt_dcache_flush_all.d
│   │   │   │   ├── alt_dcache_flush_all.o
│   │   │   │   ├── alt_dcache_flush.d
│   │   │   │   ├── alt_dcache_flush.o
│   │   │   │   ├── alt_dev.d
│   │   │   │   ├── alt_dev_llist_insert.d
│   │   │   │   ├── alt_dev_llist_insert.o
│   │   │   │   ├── alt_dev.o
│   │   │   │   ├── alt_dma_rxchan_open.d
│   │   │   │   ├── alt_dma_rxchan_open.o
│   │   │   │   ├── alt_dma_txchan_open.d
│   │   │   │   ├── alt_dma_txchan_open.o
│   │   │   │   ├── alt_do_ctors.d
│   │   │   │   ├── alt_do_ctors.o
│   │   │   │   ├── alt_do_dtors.d
│   │   │   │   ├── alt_do_dtors.o
│   │   │   │   ├── alt_environ.d
│   │   │   │   ├── alt_environ.o
│   │   │   │   ├── alt_env_lock.d
│   │   │   │   ├── alt_env_lock.o
│   │   │   │   ├── altera_avalon_cfi_flash_amd.d
│   │   │   │   ├── altera_avalon_cfi_flash_amd.o
│   │   │   │   ├── altera_avalon_cfi_flash.d
│   │   │   │   ├── altera_avalon_cfi_flash_intel.d
│   │   │   │   ├── altera_avalon_cfi_flash_intel.o
│   │   │   │   ├── altera_avalon_cfi_flash.o
│   │   │   │   ├── altera_avalon_cfi_flash_table.d
│   │   │   │   ├── altera_avalon_cfi_flash_table.o
│   │   │   │   ├── altera_avalon_jtag_uart_fd.d
│   │   │   │   ├── altera_avalon_jtag_uart_fd.o
│   │   │   │   ├── altera_avalon_jtag_uart_init.d
│   │   │   │   ├── altera_avalon_jtag_uart_init.o
│   │   │   │   ├── altera_avalon_jtag_uart_ioctl.d
│   │   │   │   ├── altera_avalon_jtag_uart_ioctl.o
│   │   │   │   ├── altera_avalon_jtag_uart_read.d
│   │   │   │   ├── altera_avalon_jtag_uart_read.o
│   │   │   │   ├── altera_avalon_jtag_uart_write.d
│   │   │   │   ├── altera_avalon_jtag_uart_write.o
│   │   │   │   ├── altera_avalon_lcd_16207.d
│   │   │   │   ├── altera_avalon_lcd_16207_fd.d
│   │   │   │   ├── altera_avalon_lcd_16207_fd.o
│   │   │   │   ├── altera_avalon_lcd_16207.o
│   │   │   │   ├── altera_avalon_sysid.d
│   │   │   │   ├── altera_avalon_sysid.o
│   │   │   │   ├── altera_avalon_timer_sc.d
│   │   │   │   ├── altera_avalon_timer_sc.o
│   │   │   │   ├── altera_avalon_timer_ts.d
│   │   │   │   ├── altera_avalon_timer_ts.o
│   │   │   │   ├── altera_avalon_timer_vars.d
│   │   │   │   ├── altera_avalon_timer_vars.o
│   │   │   │   ├── altera_avalon_uart_fd.d
│   │   │   │   ├── altera_avalon_uart_fd.o
│   │   │   │   ├── altera_avalon_uart_init.d
│   │   │   │   ├── altera_avalon_uart_init.o
│   │   │   │   ├── altera_avalon_uart_ioctl.d
│   │   │   │   ├── altera_avalon_uart_ioctl.o
│   │   │   │   ├── altera_avalon_uart_read.d
│   │   │   │   ├── altera_avalon_uart_read.o
│   │   │   │   ├── altera_avalon_uart_write.d
│   │   │   │   ├── altera_avalon_uart_write.o
│   │   │   │   ├── alt_errno.d
│   │   │   │   ├── alt_errno.o
│   │   │   │   ├── alt_exception_entry.d
│   │   │   │   ├── alt_exception_entry.o
│   │   │   │   ├── alt_exception_muldiv.d
│   │   │   │   ├── alt_exception_muldiv.o
│   │   │   │   ├── alt_exception_trap.d
│   │   │   │   ├── alt_exception_trap.o
│   │   │   │   ├── alt_execve.d
│   │   │   │   ├── alt_execve.o
│   │   │   │   ├── alt_exit.d
│   │   │   │   ├── alt_exit.o
│   │   │   │   ├── alt_fcntl.d
│   │   │   │   ├── alt_fcntl.o
│   │   │   │   ├── alt_fd_lock.d
│   │   │   │   ├── alt_fd_lock.o
│   │   │   │   ├── alt_fd_unlock.d
│   │   │   │   ├── alt_fd_unlock.o
│   │   │   │   ├── alt_find_dev.d
│   │   │   │   ├── alt_find_dev.o
│   │   │   │   ├── alt_find_file.d
│   │   │   │   ├── alt_find_file.o
│   │   │   │   ├── alt_flash_dev.d
│   │   │   │   ├── alt_flash_dev.o
│   │   │   │   ├── alt_fork.d
│   │   │   │   ├── alt_fork.o
│   │   │   │   ├── alt_fs_reg.d
│   │   │   │   ├── alt_fs_reg.o
│   │   │   │   ├── alt_fstat.d
│   │   │   │   ├── alt_fstat.o
│   │   │   │   ├── alt_getchar.d
│   │   │   │   ├── alt_getchar.o
│   │   │   │   ├── alt_get_fd.d
│   │   │   │   ├── alt_get_fd.o
│   │   │   │   ├── alt_getpid.d
│   │   │   │   ├── alt_getpid.o
│   │   │   │   ├── alt_gettod.d
│   │   │   │   ├── alt_gettod.o
│   │   │   │   ├── alt_gmon.d
│   │   │   │   ├── alt_gmon.o
│   │   │   │   ├── alt_icache_flush_all.d
│   │   │   │   ├── alt_icache_flush_all.o
│   │   │   │   ├── alt_icache_flush.d
│   │   │   │   ├── alt_icache_flush.o
│   │   │   │   ├── alt_ioctl.d
│   │   │   │   ├── alt_ioctl.o
│   │   │   │   ├── alt_io_redirect.d
│   │   │   │   ├── alt_io_redirect.o
│   │   │   │   ├── alt_irq_entry.d
│   │   │   │   ├── alt_irq_entry.o
│   │   │   │   ├── alt_irq_handler.d
│   │   │   │   ├── alt_irq_handler.o
│   │   │   │   ├── alt_irq_register.d
│   │   │   │   ├── alt_irq_register.o
│   │   │   │   ├── alt_irq_vars.d
│   │   │   │   ├── alt_irq_vars.o
│   │   │   │   ├── alt_isatty.d
│   │   │   │   ├── alt_isatty.o
│   │   │   │   ├── alt_kill.d
│   │   │   │   ├── alt_kill.o
│   │   │   │   ├── alt_link.d
│   │   │   │   ├── alt_link.o
│   │   │   │   ├── alt_load.d
│   │   │   │   ├── alt_load.o
│   │   │   │   ├── alt_log_macro.d
│   │   │   │   ├── alt_log_macro.o
│   │   │   │   ├── alt_log_printf.d
│   │   │   │   ├── alt_log_printf.o
│   │   │   │   ├── alt_lseek.d
│   │   │   │   ├── alt_lseek.o
│   │   │   │   ├── alt_main.d
│   │   │   │   ├── alt_main.o
│   │   │   │   ├── alt_malloc_lock.d
│   │   │   │   ├── alt_malloc_lock.o
│   │   │   │   ├── alt_mcount.d
│   │   │   │   ├── alt_mcount.o
│   │   │   │   ├── alt_open.d
│   │   │   │   ├── alt_open.o
│   │   │   │   ├── alt_printf.d
│   │   │   │   ├── alt_printf.o
│   │   │   │   ├── alt_putchar.d
│   │   │   │   ├── alt_putchar.o
│   │   │   │   ├── alt_putstr.d
│   │   │   │   ├── alt_putstr.o
│   │   │   │   ├── alt_read.d
│   │   │   │   ├── alt_read.o
│   │   │   │   ├── alt_release_fd.d
│   │   │   │   ├── alt_release_fd.o
│   │   │   │   ├── alt_remap_cached.d
│   │   │   │   ├── alt_remap_cached.o
│   │   │   │   ├── alt_remap_uncached.d
│   │   │   │   ├── alt_remap_uncached.o
│   │   │   │   ├── alt_rename.d
│   │   │   │   ├── alt_rename.o
│   │   │   │   ├── alt_sbrk.d
│   │   │   │   ├── alt_sbrk.o
│   │   │   │   ├── alt_settod.d
│   │   │   │   ├── alt_settod.o
│   │   │   │   ├── alt_software_exception.d
│   │   │   │   ├── alt_software_exception.o
│   │   │   │   ├── alt_stat.d
│   │   │   │   ├── alt_stat.o
│   │   │   │   ├── alt_sys_init.c-t
│   │   │   │   ├── alt_sys_init.d
│   │   │   │   ├── alt_sys_init.o
│   │   │   │   ├── alt_tick.d
│   │   │   │   ├── alt_tick.o
│   │   │   │   ├── alt_times.d
│   │   │   │   ├── alt_times.o
│   │   │   │   ├── alt_uncached_free.d
│   │   │   │   ├── alt_uncached_free.o
│   │   │   │   ├── alt_uncached_malloc.d
│   │   │   │   ├── alt_uncached_malloc.o
│   │   │   │   ├── alt_unlink.d
│   │   │   │   ├── alt_unlink.o
│   │   │   │   ├── alt_usleep.d
│   │   │   │   ├── alt_usleep.o
│   │   │   │   ├── alt_wait.d
│   │   │   │   ├── alt_wait.o
│   │   │   │   ├── alt_write.d
│   │   │   │   ├── alt_write.o
│   │   │   │   ├── generated_all.mk-t
│   │   │   │   ├── generated_app.mk-t
│   │   │   │   ├── generated.gdb-t
│   │   │   │   ├── generated.sh-t
│   │   │   │   ├── generated.x-t
│   │   │   │   └── system.h-t
│   │   │   └── system_description
│   │   │   ├── alt_sys_init.c
│   │   │   ├── generated_all.mk
│   │   │   ├── generated_app.mk
│   │   │   ├── generated.gdb
│   │   │   ├── generated.sh
│   │   │   ├── generated.x
│   │   │   └── system.h
│   │   ├── readme.txt
│   │   └── system.stf
│   ├── sopc_add_qip_file.tcl
│   ├── sopc_builder_log.txt
│   ├── sysid.v
│   ├── system_0.bsf
│   ├── system_0_generation_script
│   ├── system_0_log.txt
│   ├── system_0.ptf
│   ├── system_0.ptf.bak
│   ├── system_0.ptf.pre_generation_ptf
│   ├── system_0.qip
│   ├── system_0_setup_quartus.tcl
│   ├── system_0_sim
│   │   ├── atail-f.pl
│   │   ├── dummy_file
│   │   ├── jtag_uart_input_mutex.dat
│   │   ├── jtag_uart_input_stream.dat
│   │   ├── jtag_uart_output_stream.dat
│   │   ├── uart_input_data_mutex.dat
│   │   ├── uart_input_data_stream.dat
│   │   └── uart_log_module.txt
│   ├── system_0.sopc
│   ├── system_0.v
│   ├── timer_stamp.v
│   ├── timer.v
│   └── uart.v
├── synthesizer
│   ├── adio_codec.v
│   ├── AUDIO_DAC.v
│   ├── bar_big.v
│   ├── bar_blank.v
│   ├── bar.v
│   ├── bar_white.v
│   ├── DE2_70_Synthesizer.asm.rpt
│   ├── DE2_70_Synthesizer_assignment_defaults.qdf
│   ├── DE2_70_Synthesizer.done
│   ├── DE2_70_Synthesizer.fit.rpt
│   ├── DE2_70_Synthesizer.fit.smsg
│   ├── DE2_70_Synthesizer.fit.summary
│   ├── DE2_70_Synthesizer.flow.rpt
│   ├── DE2_70_Synthesizer.map.rpt
│   ├── DE2_70_Synthesizer.map.summary
│   ├── DE2_70_Synthesizer.pin
│   ├── DE2_70_Synthesizer.pof
│   ├── DE2_70_Synthesizer.qpf
│   ├── DE2_70_Synthesizer.qsf
│   ├── DE2_70_Synthesizer.qws
│   ├── DE2_70_Synthesizer.sof
│   ├── DE2_70_Synthesizer.tan.rpt
│   ├── DE2_70_Synthesizer.tan.summary
│   ├── DE2_70_Synthesizer.v
│   ├── DE2_70_TOP_assignment_defaults.qdf
│   ├── DE2_70_TOP.qpf
│   ├── DE2_70_TOP.qsf
│   ├── DE2_70_TOP.qws
│   ├── DE2_70_TOP.v
│   ├── demo_sound1.v
│   ├── demo_sound2.v
│   ├── demo_sound3.v
│   ├── demo_sound4.v
│   ├── I2C_AV_Config.v
│   ├── I2C_Controller.v
│   ├── LCD_Controller.v
│   ├── LCD_TEST.v
│   ├── PS2_KEYBOARD.v
│   ├── README.txt
│   ├── SEG7_LUT_8.v
│   ├── SEG7_LUT.v
│   ├── staff.v
│   ├── VGA_Audio_PLL.v
│   ├── vga_time_generator.v
│   ├── wave_gen_brass.v
│   ├── wave_gen_ramp.v
│   ├── wave_gen_sin.v
│   ├── wave_gen_square.v
│   ├── wave_gen_string.v
│   └── wave_gen_x2.v
├── top
│   ├── DE2_70_TOP_assignment_defaults.qdf
│   ├── DE2_70_TOP.qpf
│   ├── DE2_70_TOP.qsf
│   ├── DE2_70_TOP.qws
│   └── DE2_70_TOP.v
└── TV
├── AUDIO_DAC.v
├── db
│   ├── add_sub_lkc.tdf
│   ├── add_sub_mkc.tdf
│   ├── a_gray2bin_kdb.tdf
│   ├── a_graycounter_egc.tdf
│   ├── a_graycounter_fgc.tdf
│   ├── a_graycounter_o96.tdf
│   ├── alt_synch_pipe_rdb.tdf
│   ├── alt_synch_pipe_vd8.tdf
│   ├── altsyncram_1l81.tdf
│   ├── altsyncram_aj81.tdf
│   ├── altsyncram_drg1.tdf
│   ├── alt_u_div_p1g.tdf
│   ├── cmpr_536.tdf
│   ├── cmpr_ldc.tdf
│   ├── cntr_hpf.tdf
│   ├── dcfifo_21m1.tdf
│   ├── DE2_70_TV.db_info
│   ├── DE2_70_TV.eco.cdb
│   ├── DE2_70_TV.sld_design_entry.sci
│   ├── ded_mult_ob91.tdf
│   ├── dffpipe_b3c.tdf
│   ├── dffpipe_kec.tdf
│   ├── dffpipe_ngh.tdf
│   ├── dffpipe_oe9.tdf
│   ├── dffpipe_pe9.tdf
│   ├── dffpipe_qe9.tdf
│   ├── logic_util_heursitic.dat
│   ├── lpm_divide_d6t.tdf
│   ├── mult_add_4f74.tdf
│   ├── shift_taps_4jn.tdf
│   └── sign_div_unsign_3li.tdf
├── DE2_70_TV.asm.rpt
├── DE2_70_TV_assignment_defaults.qdf
├── DE2_70_TV.done
├── DE2_70_TV.fit.rpt
├── DE2_70_TV.fit.smsg
├── DE2_70_TV.fit.summary
├── DE2_70_TV.flow.rpt
├── DE2_70_TV.map.rpt
├── DE2_70_TV.map.summary
├── DE2_70_TV.pin
├── DE2_70_TV.pof
├── DE2_70_TV.qpf
├── DE2_70_TV.qsf
├── DE2_70_TV.qws
├── DE2_70_TV.sof
├── DE2_70_TV.tan.rpt
├── DE2_70_TV.tan.summary
├── DE2_70_TV.v
├── DIV.v
├── I2C_AV_Config.v
├── I2C_Controller.v
├── incremental_db
│   ├── compiled_partitions
│   │   ├── DE2_70_TV.db_info
│   │   ├── DE2_70_TV.root_partition.cmp.cdb
│   │   ├── DE2_70_TV.root_partition.cmp.dfp
│   │   ├── DE2_70_TV.root_partition.cmp.hdb
│   │   ├── DE2_70_TV.root_partition.cmp.kpt
│   │   ├── DE2_70_TV.root_partition.cmp.logdb
│   │   ├── DE2_70_TV.root_partition.cmp.rcfdb
│   │   ├── DE2_70_TV.root_partition.cmp.re.rcfdb
│   │   ├── DE2_70_TV.root_partition.hbdb.cdb
│   │   ├── DE2_70_TV.root_partition.map.cdb
│   │   ├── DE2_70_TV.root_partition.map.dpi
│   │   ├── DE2_70_TV.root_partition.map.hdb
│   │   └── DE2_70_TV.root_partition.map.kpt
│   └── README
├── ITU_656_Decoder.v
├── Line_Buffer.v
├── MAC_3.v
├── PLL.v
├── README.txt
├── Reset_Delay.v
├── Sdram_Control_4Port
│   ├── command.v
│   ├── control_interface.v
│   ├── Sdram_Control_4Port.v
│   ├── Sdram_Params.h
│   ├── Sdram_PLL.bsf
│   ├── Sdram_PLL.ppf
│   ├── Sdram_PLL.v
│   ├── Sdram_RD_FIFO.v
│   ├── Sdram_WR_FIFO.v
│   └── sdr_data_path.v
├── SEG7_LUT_8.v
├── SEG7_LUT.v
├── TD_Detect.v
├── TP_RAM.v
├── VGA_Ctrl.v
├── YCbCr2RGB.v
└── YUV422_to_444.v

138 directories, 1739 files

标签:

实例下载地址

DE2-70开发板程序实例

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警