实例介绍
基于FPGA的spwm产生
【实例截图】
【核心代码】
SPWM_TEST
└── SPWM_TEST
└── SPWM_TEST
├── bijiaoqi1.bsf
├── bijiaoqi1.vhd
├── bijiaoqi2.bsf
├── bijiaoqi2.vhd
├── bijiaoqi3.bsf
├── bijiaoqi3.vhd
├── COUNTER32B.bsf
├── COUNTER32B.vhd
├── data_rom1.bsf
├── data_rom1.cmp
├── data_rom1.hex
├── data_rom1.qip
├── data_rom1.vhd
├── data_rom1_wave0.jpg
├── data_rom1_waveforms.html
├── data_rom2.bsf
├── data_rom2.cmp
├── data_rom2.hex
├── data_rom2.qip
├── data_rom2.vhd
├── data_rom2_wave0.jpg
├── data_rom2_waveforms.html
├── data_rom3.bsf
├── data_rom3.cmp
├── data_rom3.hex
├── data_rom3.qip
├── data_rom3.vhd
├── data_rom3_wave0.jpg
├── data_rom3_waveforms.html
├── data_rom.bsf
├── data_rom.cmp
├── data_rom.qip
├── data_rom.vhd
├── data_rom_wave0.jpg
├── data_rom_waveforms.html
├── db
│ ├── add_sub_4rh.tdf
│ ├── add_sub_5rh.tdf
│ ├── add_sub_6rh.tdf
│ ├── add_sub_8rh.tdf
│ ├── add_sub_ksh.tdf
│ ├── add_sub_msh.tdf
│ ├── altsyncram_0481.tdf
│ ├── altsyncram_1792.tdf
│ ├── altsyncram_3s71.tdf
│ ├── altsyncram_9a92.tdf
│ ├── altsyncram_9i92.tdf
│ ├── altsyncram_ai92.tdf
│ ├── altsyncram_as71.tdf
│ ├── altsyncram_bi92.tdf
│ ├── altsyncram_cs71.tdf
│ ├── altsyncram_d792.tdf
│ ├── altsyncram_es71.tdf
│ ├── altsyncram_fq71.tdf
│ ├── altsyncram_jd92.tdf
│ ├── altsyncram_lq71.tdf
│ ├── altsyncram_na92.tdf
│ ├── altsyncram_oa92.tdf
│ ├── altsyncram_ot71.tdf
│ ├── altsyncram_pa92.tdf
│ ├── altsyncram_s381.tdf
│ ├── altsyncram_u381.tdf
│ ├── mux_cfc.tdf
│ ├── mux_oic.tdf
│ ├── mux_ric.tdf
│ ├── No2.db_info
│ ├── No2_global_asgn_op.abo
│ ├── No2.sim.cvwf
│ ├── No2.sld_design_entry.sci
│ ├── prev_cmp_No2.asm.qmsg
│ ├── prev_cmp_No2.eda.qmsg
│ ├── prev_cmp_No2.fit.qmsg
│ ├── prev_cmp_No2.map.qmsg
│ ├── prev_cmp_No2.qmsg
│ ├── prev_cmp_No2.sim.qmsg
│ ├── prev_cmp_No2.tan.qmsg
│ └── wed.wsf
├── dead_time.bsf
├── dead_time.v
├── DVF.bsf
├── DVF.vhd
├── DVF.vhd.bak
├── FPQ.bsf
├── FPQ.vhd
├── FPQ.vhd.bak
├── incremental_db
│ ├── compiled_partitions
│ │ ├── No2.db_info
│ │ ├── No2.root_partition.cmp.atm
│ │ ├── No2.root_partition.cmp.dfp
│ │ ├── No2.root_partition.cmp.hdbx
│ │ ├── No2.root_partition.cmp.kpt
│ │ ├── No2.root_partition.cmp.logdb
│ │ ├── No2.root_partition.cmp.rcf
│ │ ├── No2.root_partition.map.atm
│ │ ├── No2.root_partition.map.dpi
│ │ ├── No2.root_partition.map.hdbx
│ │ ├── No2.root_partition.map.kpt
│ │ ├── No2.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.atm
│ │ ├── No2.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.dpi
│ │ ├── No2.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.hdbx
│ │ ├── No2.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.kpt
│ │ └── No2.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.logdb
│ └── README
├── No2.asm.rpt
├── No2_assignment_defaults.qdf
├── No2.bdf
├── No2.done
├── No2.dpf
├── No2.eda.rpt
├── No2.fit.rpt
├── No2.fit.smsg
├── No2.fit.summary
├── No2.flow.rpt
├── No2.jdi
├── No2.map.rpt
├── No2.map.summary
├── No2_nativelink_simulation.rpt
├── No2.pin
├── No2.pof
├── No2.qpf
├── No2.qsf
├── No2.sim.rpt
├── No2.sof
├── No2.tan.rpt
├── No2.tan.summary
├── No2.tcl
├── No2.v
├── No2.v.bak
├── No2.vwf
├── pinlv.bsf
├── pinlv.v
├── pinlv.v.bak
├── simulation
│ └── modelsim
│ ├── modelsim.ini
│ ├── msim_transcript
│ ├── No2_modelsim.xrf
│ ├── No2_run_msim_rtl_vhdl.do
│ ├── No2_run_msim_rtl_vhdl.do.bak
│ ├── No2_run_msim_rtl_vhdl.do.bak1
│ ├── No2_run_msim_rtl_vhdl.do.bak10
│ ├── No2_run_msim_rtl_vhdl.do.bak11
│ ├── No2_run_msim_rtl_vhdl.do.bak2
│ ├── No2_run_msim_rtl_vhdl.do.bak3
│ ├── No2_run_msim_rtl_vhdl.do.bak4
│ ├── No2_run_msim_rtl_vhdl.do.bak5
│ ├── No2_run_msim_rtl_vhdl.do.bak6
│ ├── No2_run_msim_rtl_vhdl.do.bak7
│ ├── No2_run_msim_rtl_vhdl.do.bak8
│ ├── No2_run_msim_rtl_vhdl.do.bak9
│ ├── No2.sft
│ ├── No2.vo
│ ├── No2_v.sdo
│ ├── No2.vt
│ ├── No2.vt.bak
│ ├── rtl_work
│ │ ├── bijiaoqi1
│ │ │ ├── behav.asm
│ │ │ ├── behav.dat
│ │ │ └── _primary.dat
│ │ ├── bijiaoqi2
│ │ │ ├── behav.asm
│ │ │ ├── behav.dat
│ │ │ └── _primary.dat
│ │ ├── bijiaoqi3
│ │ │ ├── behav.asm
│ │ │ ├── behav.dat
│ │ │ └── _primary.dat
│ │ ├── counter32b
│ │ │ ├── behav.asm
│ │ │ ├── behav.dat
│ │ │ └── _primary.dat
│ │ ├── data_rom1
│ │ │ ├── _primary.dat
│ │ │ ├── syn.asm
│ │ │ └── syn.dat
│ │ ├── data_rom2
│ │ │ ├── _primary.dat
│ │ │ ├── syn.asm
│ │ │ └── syn.dat
│ │ ├── data_rom3
│ │ │ ├── _primary.dat
│ │ │ ├── syn.asm
│ │ │ └── syn.dat
│ │ ├── dvf
│ │ │ ├── one.asm
│ │ │ ├── one.dat
│ │ │ └── _primary.dat
│ │ ├── fpq
│ │ │ ├── one.asm
│ │ │ ├── one.dat
│ │ │ └── _primary.dat
│ │ ├── _info
│ │ ├── @no2
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ └── verilog.asm
│ │ ├── @no2_vlg_tst
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ └── verilog.asm
│ │ ├── singt1
│ │ │ ├── dacc.asm
│ │ │ ├── dacc.dat
│ │ │ └── _primary.dat
│ │ ├── singt2
│ │ │ ├── dacc.asm
│ │ │ ├── dacc.dat
│ │ │ └── _primary.dat
│ │ ├── singt3
│ │ │ ├── dacc.asm
│ │ │ ├── dacc.dat
│ │ │ └── _primary.dat
│ │ └── @s@p@w@m
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ └── verilog.asm
│ ├── vhdl_libs
│ │ ├── altera
│ │ │ ├── alt_bidir_buf
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── alt_bidir_diff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altera_primitives_components
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── altera_syn_attributes
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── alt_inbuf
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── alt_inbuf_diff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── alt_iobuf
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── alt_iobuf_diff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── alt_outbuf
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── alt_outbuf_diff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── alt_outbuf_tri
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── alt_outbuf_tri_diff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── carry
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── carry_sum
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cascade
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── clklock
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dffe
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dffea
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dffeas
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── vital_dffeas.asm
│ │ │ │ └── vital_dffeas.dat
│ │ │ ├── dffeas_pack
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── dlatch
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── exp
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── global
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── _info
│ │ │ ├── jkff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── jkffe
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── latch
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lut_input
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lut_output
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── opndrn
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── prim_gdff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── prim_gjkff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── prim_gsrff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── prim_gtff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── row_global
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── soft
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── srff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── srffe
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── tff
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── tffe
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ └── tri
│ │ │ ├── behavior.asm
│ │ │ ├── behavior.dat
│ │ │ └── _primary.dat
│ │ ├── altera_mf
│ │ │ ├── a_graycounter
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── alt3pram
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altaccumulate
│ │ │ │ ├── behaviour.asm
│ │ │ │ ├── behaviour.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── alt_cal
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── rtl.asm
│ │ │ │ └── rtl.dat
│ │ │ ├── altcam
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altclklock
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altddio_bidir
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── struct.asm
│ │ │ │ └── struct.dat
│ │ │ ├── altddio_in
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altddio_out
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altdpram
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altdq_dqs
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── translated.asm
│ │ │ │ └── translated.dat
│ │ │ ├── altera_common_conversion
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── altera_device_families
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── altera_mf_components
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── altera_mf_hint_evaluation
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── altera_std_synchronizer
│ │ │ │ ├── behavioral.asm
│ │ │ │ ├── behavioral.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altera_std_synchronizer_bundle
│ │ │ │ ├── behavioral.asm
│ │ │ │ ├── behavioral.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altfp_mult
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altlvds_rx
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altlvds_tx
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altmult_accum
│ │ │ │ ├── behaviour.asm
│ │ │ │ ├── behaviour.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altmult_add
│ │ │ │ ├── behaviour.asm
│ │ │ │ ├── behaviour.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altparallel_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── sim_altparallel_flash_loader.asm
│ │ │ │ └── sim_altparallel_flash_loader.dat
│ │ │ ├── altpll
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altqpram
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altserial_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── sim_altserial_flash_loader.asm
│ │ │ │ └── sim_altserial_flash_loader.dat
│ │ │ ├── altshift_taps
│ │ │ │ ├── behavioural.asm
│ │ │ │ ├── behavioural.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altsource_probe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── sim_altsource_probe.asm
│ │ │ │ └── sim_altsource_probe.dat
│ │ │ ├── altsqrt
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altsquare
│ │ │ │ ├── altsquare_syn.asm
│ │ │ │ ├── altsquare_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── altstratixii_oct
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── sim_altstratixii_oct.asm
│ │ │ │ └── sim_altstratixii_oct.dat
│ │ │ ├── altsyncram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── translated.asm
│ │ │ │ └── translated.dat
│ │ │ ├── arm_m_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── arm_n_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── arm_scale_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dcfifo
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dcfifo_async
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dcfifo_dffpipe
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dcfifo_fefifo
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dcfifo_low_latency
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dcfifo_mixed_widths
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dcfifo_pack
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── dcfifo_sync
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dffp
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── dummy_hub
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── flexible_lvds_rx
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── flexible_lvds_tx
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── _info
│ │ │ ├── jtag_tap_controller
│ │ │ │ ├── fsm.asm
│ │ │ │ ├── fsm.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lcell
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── mf_cda_mn_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── mf_cda_scale_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── mf_cycloneiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── vital_pll.asm
│ │ │ │ └── vital_pll.dat
│ │ │ ├── mf_m_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── mf_n_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── mf_pllpack
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── mf_pll_reg
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── mf_stratixiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── vital_pll.asm
│ │ │ │ └── vital_pll.dat
│ │ │ ├── mf_stratixii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── vital_pll.asm
│ │ │ │ └── vital_pll.dat
│ │ │ ├── mf_stratix_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── vital_pll.asm
│ │ │ │ └── vital_pll.dat
│ │ │ ├── mf_ttn_mn_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── mf_ttn_scale_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── parallel_add
│ │ │ │ ├── behaviour.asm
│ │ │ │ ├── behaviour.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── pll_iobuf
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── scfifo
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── signal_gen
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── simmodel.asm
│ │ │ │ └── simmodel.dat
│ │ │ ├── sld_node
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── sld_signaltap
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── sim_sld_signaltap.asm
│ │ │ │ └── sim_sld_signaltap.dat
│ │ │ ├── sld_virtual_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── structural.asm
│ │ │ │ └── structural.dat
│ │ │ ├── stratixiii_lvds_rx
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── stratixiii_lvds_rx_channel
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── stratixiii_lvds_rx_dpa
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── stratixii_lvds_rx
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── stratixii_tx_outclk
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── stratix_tx_outclk
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ └── stx_scale_cntr
│ │ │ ├── behave.asm
│ │ │ ├── behave.dat
│ │ │ └── _primary.dat
│ │ ├── cyclone
│ │ │ ├── cyclone_and1
│ │ │ │ ├── altvital.asm
│ │ │ │ ├── altvital.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_asmiblock
│ │ │ │ ├── architecture_asmiblock.asm
│ │ │ │ ├── architecture_asmiblock.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_asynch_io
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_asynch_lcell
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── vital_le.asm
│ │ │ │ └── vital_le.dat
│ │ │ ├── cyclone_atom_pack
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── cyclone_components
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── cyclone_crcblock
│ │ │ │ ├── architecture_crcblock.asm
│ │ │ │ ├── architecture_crcblock.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_dffe
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_dll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── vital_dll.asm
│ │ │ │ └── vital_dll.dat
│ │ │ ├── cyclone_io
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── structure.asm
│ │ │ │ └── structure.dat
│ │ │ ├── cyclone_jtag
│ │ │ │ ├── architecture_jtag.asm
│ │ │ │ ├── architecture_jtag.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_lcell
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── vital_le_atom.asm
│ │ │ │ └── vital_le_atom.dat
│ │ │ ├── cyclone_lcell_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── vital_le_reg.asm
│ │ │ │ └── vital_le_reg.dat
│ │ │ ├── cyclone_m_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_mux21
│ │ │ │ ├── altvital.asm
│ │ │ │ ├── altvital.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_mux41
│ │ │ │ ├── altvital.asm
│ │ │ │ ├── altvital.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_n_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── vital_pll.asm
│ │ │ │ └── vital_pll.dat
│ │ │ ├── cyclone_pllpack
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── cyclone_pll_reg
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_ram_block
│ │ │ │ ├── block_arch.asm
│ │ │ │ ├── block_arch.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_ram_pulse_generator
│ │ │ │ ├── pgen_arch.asm
│ │ │ │ ├── pgen_arch.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── reg_arch.asm
│ │ │ │ └── reg_arch.dat
│ │ │ ├── cyclone_routing_wire
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_scale_cntr
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ └── _info
│ │ ├── lpm
│ │ │ ├── _info
│ │ │ ├── lpm_abs
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_add_sub
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_add_sub_signed
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_add_sub_unsigned
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_and
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_bipad
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_bustri
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_clshift
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_common_conversion
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── lpm_compare
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_compare_signed
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_compare_unsigned
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_components
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── lpm_constant
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_counter
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_decode
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_device_families
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── lpm_divide
│ │ │ │ ├── behave.asm
│ │ │ │ ├── behave.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_ff
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_fifo
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_fifo_dc
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_fifo_dc_async
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_fifo_dc_dffpipe
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_fifo_dc_fefifo
│ │ │ │ ├── behavior.asm
│ │ │ │ ├── behavior.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_hint_evaluation
│ │ │ │ ├── body.asm
│ │ │ │ ├── body.dat
│ │ │ │ ├── _primary.dat
│ │ │ │ └── _vhdl.asm
│ │ │ ├── lpm_inpad
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_inv
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_latch
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_mult
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_mux
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_or
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_outpad
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_ram_dp
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_ram_dq
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_ram_io
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_rom
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_shiftreg
│ │ │ │ ├── lpm_syn.asm
│ │ │ │ ├── lpm_syn.dat
│ │ │ │ └── _primary.dat
│ │ │ └── lpm_xor
│ │ │ ├── lpm_syn.asm
│ │ │ ├── lpm_syn.dat
│ │ │ └── _primary.dat
│ │ └── sgate
│ │ ├── _info
│ │ ├── io_buf_opdrn
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── io_buf_tri
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── mux21
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_add
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_addsub
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_bus_mux
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_decoder
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_div
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_latch
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_left_shift
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_less_than
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_mod
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_mult
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_mux
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_prio_selector
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_right_shift
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_rotate_left
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_rotate_right
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── oper_selector
│ │ │ ├── _primary.dat
│ │ │ ├── sim_arch.asm
│ │ │ └── sim_arch.dat
│ │ ├── sgate_pack
│ │ │ ├── body.asm
│ │ │ ├── body.dat
│ │ │ ├── _primary.dat
│ │ │ └── _vhdl.asm
│ │ └── tri_bus
│ │ ├── _primary.dat
│ │ ├── sim_arch.asm
│ │ └── sim_arch.dat
│ └── vsim.wlf
├── SINGT1.bsf
├── SINGT1.vhd
├── SINGT1.vhd.bak
├── SINGT2.bsf
├── SINGT2.vhd
├── SINGT2.vhd.bak
├── SINGT3.bsf
├── SINGT3.vhd
├── SINGT3.vhd.bak
├── SPWM.bdf
├── SPWM.bsf
├── SPWM.v
├── spwm.vwf
└── 配属_65M变10K的分频器
├── db
│ ├── FPQ.db_info
│ ├── FPQ_global_asgn_op.abo
│ ├── FPQ.sim.cvwf
│ ├── FPQ.sld_design_entry.sci
│ ├── prev_cmp_FPQ.map.qmsg
│ ├── prev_cmp_FPQ.qmsg
│ ├── prev_cmp_FPQ.sim.qmsg
│ └── wed.wsf
├── FPQ.asm.rpt
├── FPQ_assignment_defaults.qdf
├── FPQ.done
├── FPQ.fit.rpt
├── FPQ.fit.smsg
├── FPQ.fit.summary
├── FPQ.flow.rpt
├── FPQ.map.rpt
├── FPQ.map.summary
├── FPQ.pin
├── FPQ.pof
├── FPQ.qpf
├── FPQ.qsf
├── FPQ.qws
├── FPQ.sim.rpt
├── FPQ.sof
├── FPQ.tan.rpt
├── FPQ.tan.summary
├── FPQ.vhd
├── FPQ.vhd.bak
├── FPQ.vwf
└── incremental_db
├── compiled_partitions
│ ├── FPQ.db_info
│ ├── FPQ.root_partition.cmp.atm
│ ├── FPQ.root_partition.cmp.dfp
│ ├── FPQ.root_partition.cmp.hdbx
│ ├── FPQ.root_partition.cmp.kpt
│ ├── FPQ.root_partition.cmp.logdb
│ ├── FPQ.root_partition.cmp.rcf
│ ├── FPQ.root_partition.map.atm
│ ├── FPQ.root_partition.map.dpi
│ ├── FPQ.root_partition.map.hdbx
│ └── FPQ.root_partition.map.kpt
└── README
233 directories, 863 files
标签:
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论