在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 基于FPGA的BPSK代码 (包含matlab 仿真)

基于FPGA的BPSK代码 (包含matlab 仿真)

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:147.12M
  • 下载次数:8
  • 浏览次数:266
  • 发布时间:2020-09-01
  • 实例类别:一般编程问题
  • 发 布 人:zwf2020
  • 文件格式:.zip
  • 所需积分:2
 相关标签: FPGA

实例介绍

【实例简介】
【实例截图】

【核心代码】

lab15_BPSK

├── MATLAB
│   ├── BPSK.m
│   ├── MATLAB.txt
│   └── sigexpand.m
└── lab15_BPSK
    ├── BPSK
    ├── BPSK.cache
    │   ├── compile_simlib
    │   │   ├── activehdl
    │   │   ├── ies
    │   │   ├── modelsim
    │   │   ├── questa
    │   │   ├── riviera
    │   │   ├── vcs
    │   │   └── xcelium
    │   ├── ip
    │   │   └── 2017.4
    │   │       ├── ac22bd666b116536
    │   │       │   ├── MUL.dcp
    │   │       │   ├── MUL_sim_netlist.v
    │   │       │   ├── MUL_sim_netlist.vhdl
    │   │       │   ├── MUL_stub.v
    │   │       │   ├── MUL_stub.vhdl
    │   │       │   └── ac22bd666b116536.xci
    │   │       └── ac22bd666b116536.logs
    │   │           └── runme.log
    │   └── wt
    │       ├── gui_handlers.wdf
    │       ├── java_command_handlers.wdf
    │       ├── project.wpc
    │       ├── synthesis.wdf
    │       ├── synthesis_details.wdf
    │       ├── webtalk_pa.xml
    │       └── xsim.wdf
    ├── BPSK.hw
    │   ├── BPSK.lpr
    │   └── hw_1
    │       ├── hw.xml
    │       ├── layout
    │       └── wave
    ├── BPSK.ip_user_files
    │   ├── README.txt
    │   ├── ip
    │   │   ├── DDS
    │   │   │   ├── DDS.veo
    │   │   │   └── DDS.vho
    │   │   ├── MUL
    │   │   │   ├── MUL.veo
    │   │   │   ├── MUL.vho
    │   │   │   ├── MUL_stub.v
    │   │   │   └── MUL_stub.vhdl
    │   │   └── clk_wiz_0
    │   │       └── clk_wiz_0.veo
    │   ├── ipstatic
    │   │   └── hdl
    │   │       ├── axi_utils_v2_0_vh_rfs.vhd
    │   │       ├── dds_compiler_v6_0_vh_rfs.vhd
    │   │       ├── fir_compiler_v7_2_vh_rfs.vhd
    │   │       ├── mult_gen_v12_0_vh_rfs.vhd
    │   │       ├── xbip_bram18k_v3_0_vh_rfs.vhd
    │   │       ├── xbip_dsp48_addsub_v3_0_vh_rfs.vhd
    │   │       ├── xbip_dsp48_multadd_v3_0_vh_rfs.vhd
    │   │       ├── xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
    │   │       ├── xbip_pipe_v3_0_vh_rfs.vhd
    │   │       └── xbip_utils_v3_0_vh_rfs.vhd
    │   ├── mem_init_files
    │   │   ├── LPF.mif
    │   │   └── firfilter.coe
    │   └── sim_scripts
    │       ├── DDS
    │       │   ├── README.txt
    │       │   ├── activehdl
    │       │   │   ├── DDS.sh
    │       │   │   ├── DDS.udo
    │       │   │   ├── README.txt
    │       │   │   ├── compile.do
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   ├── simulate.do
    │       │   │   └── wave.do
    │       │   ├── ies
    │       │   │   ├── DDS.sh
    │       │   │   ├── README.txt
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   └── run.f
    │       │   ├── modelsim
    │       │   │   ├── DDS.sh
    │       │   │   ├── DDS.udo
    │       │   │   ├── README.txt
    │       │   │   ├── compile.do
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   ├── simulate.do
    │       │   │   └── wave.do
    │       │   ├── questa
    │       │   │   ├── DDS.sh
    │       │   │   ├── DDS.udo
    │       │   │   ├── README.txt
    │       │   │   ├── compile.do
    │       │   │   ├── elaborate.do
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   ├── simulate.do
    │       │   │   └── wave.do
    │       │   ├── riviera
    │       │   │   ├── DDS.sh
    │       │   │   ├── DDS.udo
    │       │   │   ├── README.txt
    │       │   │   ├── compile.do
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   ├── simulate.do
    │       │   │   └── wave.do
    │       │   ├── vcs
    │       │   │   ├── DDS.sh
    │       │   │   ├── README.txt
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   └── simulate.do
    │       │   └── xsim
    │       │       ├── DDS.sh
    │       │       ├── README.txt
    │       │       ├── cmd.tcl
    │       │       ├── elab.opt
    │       │       ├── file_info.txt
    │       │       ├── glbl.v
    │       │       ├── vhdl.prj
    │       │       ├── vlog.prj
    │       │       └── xsim.ini
    │       ├── MUL
    │       │   ├── README.txt
    │       │   ├── activehdl
    │       │   │   ├── MUL.sh
    │       │   │   ├── MUL.udo
    │       │   │   ├── README.txt
    │       │   │   ├── compile.do
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   ├── simulate.do
    │       │   │   └── wave.do
    │       │   ├── ies
    │       │   │   ├── MUL.sh
    │       │   │   ├── README.txt
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   └── run.f
    │       │   ├── modelsim
    │       │   │   ├── MUL.sh
    │       │   │   ├── MUL.udo
    │       │   │   ├── README.txt
    │       │   │   ├── compile.do
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   ├── simulate.do
    │       │   │   └── wave.do
    │       │   ├── questa
    │       │   │   ├── MUL.sh
    │       │   │   ├── MUL.udo
    │       │   │   ├── README.txt
    │       │   │   ├── compile.do
    │       │   │   ├── elaborate.do
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   ├── simulate.do
    │       │   │   └── wave.do
    │       │   ├── riviera
    │       │   │   ├── MUL.sh
    │       │   │   ├── MUL.udo
    │       │   │   ├── README.txt
    │       │   │   ├── compile.do
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   ├── simulate.do
    │       │   │   └── wave.do
    │       │   ├── vcs
    │       │   │   ├── MUL.sh
    │       │   │   ├── README.txt
    │       │   │   ├── file_info.txt
    │       │   │   ├── glbl.v
    │       │   │   └── simulate.do
    │       │   └── xsim
    │       │       ├── MUL.sh
    │       │       ├── README.txt
    │       │       ├── cmd.tcl
    │       │       ├── elab.opt
    │       │       ├── file_info.txt
    │       │       ├── glbl.v
    │       │       ├── vhdl.prj
    │       │       ├── vlog.prj
    │       │       └── xsim.ini
    │       └── clk_wiz_0
    │           ├── README.txt
    │           ├── activehdl
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── clk_wiz_0.udo
    │           │   ├── compile.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── ies
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   └── run.f
    │           ├── modelsim
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── clk_wiz_0.udo
    │           │   ├── compile.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── questa
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── clk_wiz_0.udo
    │           │   ├── compile.do
    │           │   ├── elaborate.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── riviera
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── clk_wiz_0.udo
    │           │   ├── compile.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── vcs
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   └── simulate.do
    │           └── xsim
    │               ├── README.txt
    │               ├── clk_wiz_0.sh
    │               ├── cmd.tcl
    │               ├── elab.opt
    │               ├── file_info.txt
    │               ├── glbl.v
    │               ├── vlog.prj
    │               └── xsim.ini
    ├── BPSK.runs
    │   ├── DDS_synth_1
    │   │   ├── DDS.dcp
    │   │   ├── DDS.tcl
    │   │   ├── DDS.vds
    │   │   ├── DDS_utilization_synth.pb
    │   │   ├── DDS_utilization_synth.rpt
    │   │   ├── ISEWrap.js
    │   │   ├── ISEWrap.sh
    │   │   ├── dont_touch.xdc
    │   │   ├── gen_run.xml
    │   │   ├── htr.txt
    │   │   ├── project.wdf
    │   │   ├── rundef.js
    │   │   ├── runme.bat
    │   │   ├── runme.log
    │   │   ├── runme.sh
    │   │   ├── vivado.jou
    │   │   └── vivado.pb
    │   ├── MUL_synth_1
    │   │   ├── ISEWrap.js
    │   │   ├── ISEWrap.sh
    │   │   ├── MUL.dcp
    │   │   ├── MUL.tcl
    │   │   ├── MUL.vds
    │   │   ├── MUL_utilization_synth.pb
    │   │   ├── MUL_utilization_synth.rpt
    │   │   ├── dont_touch.xdc
    │   │   ├── gen_run.xml
    │   │   ├── htr.txt
    │   │   ├── project.wdf
    │   │   ├── rundef.js
    │   │   ├── runme.bat
    │   │   ├── runme.log
    │   │   ├── runme.sh
    │   │   ├── vivado.jou
    │   │   └── vivado.pb
    │   ├── clk_wiz_0_synth_1
    │   │   ├── ISEWrap.js
    │   │   ├── ISEWrap.sh
    │   │   ├── clk_wiz_0.dcp
    │   │   ├── clk_wiz_0.tcl
    │   │   ├── clk_wiz_0.vds
    │   │   ├── clk_wiz_0_utilization_synth.pb
    │   │   ├── clk_wiz_0_utilization_synth.rpt
    │   │   ├── dont_touch.xdc
    │   │   ├── gen_run.xml
    │   │   ├── htr.txt
    │   │   ├── project.wdf
    │   │   ├── rundef.js
    │   │   ├── runme.bat
    │   │   ├── runme.log
    │   │   ├── runme.sh
    │   │   ├── vivado.jou
    │   │   └── vivado.pb
    │   ├── impl_1
    │   │   ├── BPSK.bit
    │   │   ├── BPSK.tcl
    │   │   ├── BPSK.vdi
    │   │   ├── BPSK_clock_utilization_routed.rpt
    │   │   ├── BPSK_control_sets_placed.rpt
    │   │   ├── BPSK_drc_opted.pb
    │   │   ├── BPSK_drc_opted.rpt
    │   │   ├── BPSK_drc_opted.rpx
    │   │   ├── BPSK_drc_routed.pb
    │   │   ├── BPSK_drc_routed.rpt
    │   │   ├── BPSK_drc_routed.rpx
    │   │   ├── BPSK_io_placed.rpt
    │   │   ├── BPSK_methodology_drc_routed.pb
    │   │   ├── BPSK_methodology_drc_routed.rpt
    │   │   ├── BPSK_methodology_drc_routed.rpx
    │   │   ├── BPSK_opt.dcp
    │   │   ├── BPSK_placed.dcp
    │   │   ├── BPSK_power_routed.rpt
    │   │   ├── BPSK_power_routed.rpx
    │   │   ├── BPSK_power_summary_routed.pb
    │   │   ├── BPSK_route_status.pb
    │   │   ├── BPSK_route_status.rpt
    │   │   ├── BPSK_routed.dcp
    │   │   ├── BPSK_timing_summary_routed.rpt
    │   │   ├── BPSK_timing_summary_routed.rpx
    │   │   ├── BPSK_utilization_placed.pb
    │   │   ├── BPSK_utilization_placed.rpt
    │   │   ├── ISEWrap.js
    │   │   ├── ISEWrap.sh
    │   │   ├── gen_run.xml
    │   │   ├── htr.txt
    │   │   ├── init_design.pb
    │   │   ├── opt_design.pb
    │   │   ├── place_design.pb
    │   │   ├── project.wdf
    │   │   ├── route_design.pb
    │   │   ├── rundef.js
    │   │   ├── runme.bat
    │   │   ├── runme.log
    │   │   ├── runme.sh
    │   │   ├── usage_statistics_webtalk.html
    │   │   ├── usage_statistics_webtalk.xml
    │   │   ├── vivado.jou
    │   │   ├── vivado.pb
    │   │   └── write_bitstream.pb
    │   └── synth_1
    │       ├── BPSK.dcp
    │       ├── BPSK.tcl
    │       ├── BPSK.vds
    │       ├── BPSK_utilization_synth.pb
    │       ├── BPSK_utilization_synth.rpt
    │       ├── ISEWrap.js
    │       ├── ISEWrap.sh
    │       ├── gen_run.xml
    │       ├── htr.txt
    │       ├── rundef.js
    │       ├── runme.bat
    │       ├── runme.log
    │       ├── runme.sh
    │       ├── vivado.jou
    │       └── vivado.pb
    ├── BPSK.sim
    │   └── sim_1
    │       └── behav
    │           └── xsim
    │               ├── BPSK.tcl
    │               ├── BPSK_behav.wdb
    │               ├── BPSK_vhdl.prj
    │               ├── BPSK_vlog.prj
    │               ├── T_BPSK.tcl
    │               ├── T_BPSK_behav.wdb
    │               ├── T_BPSK_vhdl.prj
    │               ├── T_BPSK_vlog.prj
    │               ├── compile.bat
    │               ├── compile.log
    │               ├── elaborate.bat
    │               ├── elaborate.log
    │               ├── glbl.v
    │               ├── simulate.bat
    │               ├── simulate.log
    │               ├── webtalk.jou
    │               ├── webtalk.log
    │               ├── webtalk_14224.backup.jou
    │               ├── webtalk_14224.backup.log
    │               ├── webtalk_16764.backup.jou
    │               ├── webtalk_16764.backup.log
    │               ├── webtalk_30056.backup.jou
    │               ├── webtalk_30056.backup.log
    │               ├── webtalk_31360.backup.jou
    │               ├── webtalk_31360.backup.log
    │               ├── webtalk_4040.backup.jou
    │               ├── webtalk_4040.backup.log
    │               ├── xelab.pb
    │               ├── xsim.dir
    │               │   ├── BPSK_behav
    │               │   │   ├── Compile_Options.txt
    │               │   │   ├── TempBreakPointFile.txt
    │               │   │   ├── obj
    │               │   │   │   ├── xsim_0.win64.obj
    │               │   │   │   ├── xsim_1.c
    │               │   │   │   └── xsim_1.win64.obj
    │               │   │   ├── webtalk
    │               │   │   ├── xsim.dbg
    │               │   │   ├── xsim.mem
    │               │   │   ├── xsim.reloc
    │               │   │   ├── xsim.rlx
    │               │   │   ├── xsim.rtti
    │               │   │   ├── xsim.svtype
    │               │   │   ├── xsim.type
    │               │   │   ├── xsim.xdbg
    │               │   │   ├── xsimSettings.ini
    │               │   │   ├── xsimcrash.log
    │               │   │   ├── xsimk.exe
    │               │   │   └── xsimkernel.log
    │               │   ├── T_BPSK_behav
    │               │   │   ├── Compile_Options.txt
    │               │   │   ├── TempBreakPointFile.txt
    │               │   │   ├── obj
    │               │   │   │   ├── xsim_0.win64.obj
    │               │   │   │   ├── xsim_1.win64.obj
    │               │   │   │   ├── xsim_2.c
    │               │   │   │   └── xsim_2.win64.obj
    │               │   │   ├── webtalk
    │               │   │   │   ├── usage_statistics_ext_xsim.html
    │               │   │   │   └── usage_statistics_ext_xsim.xml
    │               │   │   ├── xsim.dbg
    │               │   │   ├── xsim.mem
    │               │   │   ├── xsim.reloc
    │               │   │   ├── xsim.rlx
    │               │   │   ├── xsim.rtti
    │               │   │   ├── xsim.svtype
    │               │   │   ├── xsim.type
    │               │   │   ├── xsim.xdbg
    │               │   │   ├── xsimSettings.ini
    │               │   │   ├── xsimcrash.log
    │               │   │   ├── xsimk.exe
    │               │   │   └── xsimkernel.log
    │               │   ├── xil_defaultlib
    │               │   │   ├── @b@p@s@k.sdb
    │               │   │   ├── @d@a_5428.sdb
    │               │   │   ├── @i@n@d@a@t@a.sdb
    │               │   │   ├── @m@o@d@u@l@a@t@i@o@n.sdb
    │               │   │   ├── @r@o@m.sdb
    │               │   │   ├── @t_@b@p@s@k.sdb
    │               │   │   ├── clk_wiz_0.sdb
    │               │   │   ├── clk_wiz_0_clk_wiz.sdb
    │               │   │   ├── dds.vdb
    │               │   │   ├── glbl.sdb
    │               │   │   ├── mul.vdb
    │               │   │   └── xil_defaultlib.rlx
    │               │   └── xsim.svtype
    │               ├── xsim.ini
    │               ├── xsim.ini.bak
    │               ├── xvhdl.log
    │               ├── xvhdl.pb
    │               ├── xvlog.log
    │               └── xvlog.pb
    ├── BPSK.srcs
    │   ├── constrs_1
    │   │   └── new
    │   │       └── bpsk.xdc
    │   ├── sources_1
    │   │   ├── ip
    │   │   │   ├── DDS
    │   │   │   │   ├── DDS.dcp
    │   │   │   │   ├── DDS.veo
    │   │   │   │   ├── DDS.vho
    │   │   │   │   ├── DDS.xci
    │   │   │   │   ├── DDS.xml
    │   │   │   │   ├── DDS_ooc.xdc
    │   │   │   │   ├── DDS_sim_netlist.v
    │   │   │   │   ├── DDS_sim_netlist.vhdl
    │   │   │   │   ├── DDS_stub.v
    │   │   │   │   ├── DDS_stub.vhdl
    │   │   │   │   ├── cmodel
    │   │   │   │   │   ├── dds_compiler_v6_0_bitacc_cmodel_lin64.zip
    │   │   │   │   │   └── dds_compiler_v6_0_bitacc_cmodel_nt64.zip
    │   │   │   │   ├── demo_tb
    │   │   │   │   │   └── tb_DDS.vhd
    │   │   │   │   ├── doc
    │   │   │   │   │   └── dds_compiler_v6_0_changelog.txt
    │   │   │   │   ├── hdl
    │   │   │   │   │   ├── axi_utils_v2_0_vh_rfs.vhd
    │   │   │   │   │   ├── dds_compiler_v6_0_vh_rfs.vhd
    │   │   │   │   │   ├── mult_gen_v12_0_vh_rfs.vhd
    │   │   │   │   │   ├── xbip_bram18k_v3_0_vh_rfs.vhd
    │   │   │   │   │   ├── xbip_dsp48_addsub_v3_0_vh_rfs.vhd
    │   │   │   │   │   ├── xbip_dsp48_multadd_v3_0_vh_rfs.vhd
    │   │   │   │   │   ├── xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
    │   │   │   │   │   ├── xbip_pipe_v3_0_vh_rfs.vhd
    │   │   │   │   │   └── xbip_utils_v3_0_vh_rfs.vhd
    │   │   │   │   ├── sim
    │   │   │   │   │   └── DDS.vhd
    │   │   │   │   └── synth
    │   │   │   │       └── DDS.vhd
    │   │   │   ├── LPF
    │   │   │   │   ├── LPF.dcp
    │   │   │   │   ├── LPF.mif
    │   │   │   │   ├── LPF.veo
    │   │   │   │   ├── LPF.vho
    │   │   │   │   ├── LPF.xci
    │   │   │   │   ├── LPF.xml
    │   │   │   │   ├── LPF_ooc.xdc
    │   │   │   │   ├── LPF_sim_netlist.v
    │   │   │   │   ├── LPF_sim_netlist.vhdl
    │   │   │   │   ├── LPF_stub.v
    │   │   │   │   ├── LPF_stub.vhdl
    │   │   │   │   ├── cmodel
    │   │   │   │   │   ├── LPF.h
    │   │   │   │   │   ├── fir_compiler_v7_2_bitacc_cmodel_lin64.zip
    │   │   │   │   │   ├── fir_compiler_v7_2_bitacc_cmodel_nt64.zip
    │   │   │   │   │   └── tb_LPF.c
    │   │   │   │   ├── constraints
    │   │   │   │   │   └── fir_compiler_v7_2.xdc
    │   │   │   │   ├── demo_tb
    │   │   │   │   │   └── tb_LPF.vhd
    │   │   │   │   ├── doc
    │   │   │   │   │   └── fir_compiler_v7_2_changelog.txt
    │   │   │   │   ├── hdl
    │   │   │   │   │   ├── axi_utils_v2_0_vh_rfs.vhd
    │   │   │   │   │   ├── fir_compiler_v7_2_vh_rfs.vhd
    │   │   │   │   │   └── xbip_utils_v3_0_vh_rfs.vhd
    │   │   │   │   ├── sim
    │   │   │   │   │   └── LPF.vhd
    │   │   │   │   └── synth
    │   │   │   │       └── LPF.vhd
    │   │   │   ├── MUL
    │   │   │   │   ├── MUL.dcp
    │   │   │   │   ├── MUL.veo
    │   │   │   │   ├── MUL.vho
    │   │   │   │   ├── MUL.xci
    │   │   │   │   ├── MUL.xml
    │   │   │   │   ├── MUL_ooc.xdc
    │   │   │   │   ├── MUL_sim_netlist.v
    │   │   │   │   ├── MUL_sim_netlist.vhdl
    │   │   │   │   ├── MUL_stub.v
    │   │   │   │   ├── MUL_stub.vhdl
    │   │   │   │   ├── doc
    │   │   │   │   │   └── mult_gen_v12_0_changelog.txt
    │   │   │   │   ├── hdl
    │   │   │   │   │   ├── mult_gen_v12_0_vh_rfs.vhd
    │   │   │   │   │   ├── xbip_bram18k_v3_0_vh_rfs.vhd
    │   │   │   │   │   ├── xbip_pipe_v3_0_vh_rfs.vhd
    │   │   │   │   │   └── xbip_utils_v3_0_vh_rfs.vhd
    │   │   │   │   ├── sim
    │   │   │   │   │   └── MUL.vhd
    │   │   │   │   └── synth
    │   │   │   │       └── MUL.vhd
    │   │   │   └── clk_wiz_0
    │   │   │       ├── clk_wiz_0.dcp
    │   │   │       ├── clk_wiz_0.v
    │   │   │       ├── clk_wiz_0.veo
    │   │   │       ├── clk_wiz_0.xci
    │   │   │       ├── clk_wiz_0.xdc
    │   │   │       ├── clk_wiz_0.xml
    │   │   │       ├── clk_wiz_0_board.xdc
    │   │   │       ├── clk_wiz_0_clk_wiz.v
    │   │   │       ├── clk_wiz_0_ooc.xdc
    │   │   │       ├── clk_wiz_0_sim_netlist.v
    │   │   │       ├── clk_wiz_0_sim_netlist.vhdl
    │   │   │       ├── clk_wiz_0_stub.v
    │   │   │       ├── clk_wiz_0_stub.vhdl
    │   │   │       ├── doc
    │   │   │       │   └── clk_wiz_v5_4_changelog.txt
    │   │   │       ├── mmcm_pll_drp_func_7s_mmcm.vh
    │   │   │       ├── mmcm_pll_drp_func_7s_pll.vh
    │   │   │       ├── mmcm_pll_drp_func_us_mmcm.vh
    │   │   │       ├── mmcm_pll_drp_func_us_pll.vh
    │   │   │       ├── mmcm_pll_drp_func_us_plus_mmcm.vh
    │   │   │       └── mmcm_pll_drp_func_us_plus_pll.vh
    │   │   ├── new
    │   │   │   └── zxfg.v
    │   │   └── src
    │   │       ├── BPSK.v
    │   │       ├── DA_5428.v
    │   │       ├── DA_5428.v~
    │   │       ├── INDATA.v
    │   │       ├── MODULATION.v
    │   │       ├── ROM.v
    │   │       └── T_BPSK.v
    │   └── test_bentch
    │       ├── T_BPSK.v
    │       └── Thumbs.db
    ├── BPSK.xpr
    ├── ip_upgrade.log
    ├── vivado.jou
    ├── vivado.log
    ├── vivado_10844.backup.jou
    ├── vivado_10844.backup.log
    ├── vivado_13668.backup.jou
    ├── vivado_13668.backup.log
    ├── vivado_16996.backup.jou
    ├── vivado_16996.backup.log
    ├── vivado_3588.backup.jou
    ├── vivado_3588.backup.log
    ├── vivado_3944.backup.jou
    ├── vivado_3944.backup.log
    └── vivado_pid30800.zip

102 directories, 503 files


标签: FPGA

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警