实例介绍
7系列的Verilog/VHDL原语使用例程,可用NotePad或UltraEdit等工具打开查看
【实例截图】
【核心代码】
7_Series_Library_Templates
└── 7_Series_Library_Templates
├── readme.txt
├── Verilog
│ ├── ADDMACC_MACRO.veo
│ ├── ADDSUB_MACRO.veo
│ ├── BRAM_SDP_MACRO.veo
│ ├── BRAM_SINGLE_MACRO.veo
│ ├── BRAM_TDP_MACRO.veo
│ ├── BSCANE2.veo
│ ├── BUFGCE_1.veo
│ ├── BUFGCE.veo
│ ├── BUFGCTRL.veo
│ ├── BUFGMUX_1.veo
│ ├── BUFGMUX_CTRL.veo
│ ├── BUFGMUX.veo
│ ├── BUFG.veo
│ ├── BUFHCE.veo
│ ├── BUFH.veo
│ ├── BUFIO.veo
│ ├── BUFMRCE.veo
│ ├── BUFMR.veo
│ ├── BUFR.veo
│ ├── CAPTUREE2.veo
│ ├── CARRY4.veo
│ ├── CFGLUT5.veo
│ ├── COUNTER_LOAD_MACRO.veo
│ ├── COUNTER_TC_MACRO.veo
│ ├── DCIRESET.veo
│ ├── DNA_PORT.veo
│ ├── DSP48E1.veo
│ ├── EFUSE_USR.veo
│ ├── EQ_COMPARE_MACRO.veo
│ ├── FDCE.veo
│ ├── FDPE.veo
│ ├── FDRE.veo
│ ├── FDSE.veo
│ ├── FIFO18E1.veo
│ ├── FIFO36E1.veo
│ ├── FIFO_DUALCLOCK_MACRO.veo
│ ├── FIFO_SYNC_MACRO.veo
│ ├── FRAME_ECCE2.veo
│ ├── IBUFDS_DIFF_OUT_IBUFDISABLE.veo
│ ├── IBUFDS_DIFF_OUT_INTERMDISABLE.veo
│ ├── IBUFDS_DIFF_OUT.veo
│ ├── IBUFDS_GTE2.veo
│ ├── IBUFDS_IBUFDISABLE.veo
│ ├── IBUFDS_INTERMDISABLE.veo
│ ├── IBUFDS.veo
│ ├── IBUF_IBUFDISABLE.veo
│ ├── IBUF_INTERMDISABLE.veo
│ ├── IBUF.veo
│ ├── ICAPE2.veo
│ ├── IDDR_2CLK.veo
│ ├── IDDR.veo
│ ├── IDELAYCTRL.veo
│ ├── IDELAYE2.veo
│ ├── IN_FIFO.veo
│ ├── IOBUF_DCIEN.veo
│ ├── IOBUFDS_DCIEN.veo
│ ├── IOBUFDS_DIFF_OUT_DCIEN.veo
│ ├── IOBUFDS_DIFF_OUT_INTERMDISABLE.veo
│ ├── IOBUFDS_DIFF_OUT.veo
│ ├── IOBUFDS_INTERMDISABLE.veo
│ ├── IOBUFDS.veo
│ ├── IOBUF_INTERMDISABLE.veo
│ ├── IOBUF.veo
│ ├── ISERDESE2.veo
│ ├── KEEPER.veo
│ ├── LDCE.veo
│ ├── LDPE.veo
│ ├── LUT1_D.veo
│ ├── LUT1_L.veo
│ ├── LUT1.veo
│ ├── LUT2_D.veo
│ ├── LUT2_L.veo
│ ├── LUT2.veo
│ ├── LUT3_D.veo
│ ├── LUT3_L.veo
│ ├── LUT3.veo
│ ├── LUT4_D.veo
│ ├── LUT4_L.veo
│ ├── LUT4.veo
│ ├── LUT5_D.veo
│ ├── LUT5_L.veo
│ ├── LUT5.veo
│ ├── LUT6_2.veo
│ ├── LUT6_D.veo
│ ├── LUT6_L.veo
│ ├── LUT6.veo
│ ├── MACC_MACRO.veo
│ ├── MMCME2_ADV.veo
│ ├── MMCME2_BASE.veo
│ ├── MULT_MACRO.veo
│ ├── MUXF7_D.veo
│ ├── MUXF7_L.veo
│ ├── MUXF7.veo
│ ├── MUXF8_D.veo
│ ├── MUXF8_L.veo
│ ├── MUXF8.veo
│ ├── OBUFDS.veo
│ ├── OBUFTDS.veo
│ ├── OBUFT.veo
│ ├── OBUF.veo
│ ├── ODDR.veo
│ ├── ODELAYE2.veo
│ ├── OSERDESE2.veo
│ ├── OUT_FIFO.veo
│ ├── PLLE2_ADV.veo
│ ├── PLLE2_BASE.veo
│ ├── PULLDOWN.veo
│ ├── PULLUP.veo
│ ├── RAM128X1D.veo
│ ├── RAM128X1S_1.veo
│ ├── RAM128X1S.veo
│ ├── RAM256X1S.veo
│ ├── RAM32M.veo
│ ├── RAM32X1D_1.veo
│ ├── RAM32X1D.veo
│ ├── RAM32X1S_1.veo
│ ├── RAM32X1S.veo
│ ├── RAM32X2S.veo
│ ├── RAM64M.veo
│ ├── RAM64X1D.veo
│ ├── RAM64X1S_1.veo
│ ├── RAM64X1S.veo
│ ├── RAMB18E1.veo
│ ├── RAMB36E1.veo
│ ├── ROM128X1.veo
│ ├── ROM256X1.veo
│ ├── ROM32X1.veo
│ ├── ROM64X1.veo
│ ├── SRL16E.veo
│ ├── SRLC32E.veo
│ ├── STARTUPE2.veo
│ ├── USR_ACCESSE2.veo
│ └── XADC.veo
└── VHDL
├── ADDMACC_MACRO.vho
├── ADDSUB_MACRO.vho
├── BRAM_SDP_MACRO.vho
├── BRAM_SINGLE_MACRO.vho
├── BRAM_TDP_MACRO.vho
├── BSCANE2.vho
├── BUFGCE_1.vho
├── BUFGCE.vho
├── BUFGCTRL.vho
├── BUFGMUX_1.vho
├── BUFGMUX_CTRL.vho
├── BUFGMUX.vho
├── BUFG.vho
├── BUFHCE.vho
├── BUFH.vho
├── BUFIO.vho
├── BUFMRCE.vho
├── BUFMR.vho
├── BUFR.vho
├── CAPTUREE2.vho
├── CARRY4.vho
├── CFGLUT5.vho
├── COUNTER_LOAD_MACRO.vho
├── COUNTER_TC_MACRO.vho
├── DCIRESET.vho
├── DNA_PORT.vho
├── DSP48E1.vho
├── EFUSE_USR.vho
├── EQ_COMPARE_MACRO.vho
├── FDCE.vho
├── FDPE.vho
├── FDRE.vho
├── FDSE.vho
├── FIFO18E1.vho
├── FIFO36E1.vho
├── FIFO_DUALCLOCK_MACRO.vho
├── FIFO_SYNC_MACRO.vho
├── FRAME_ECCE2.vho
├── IBUFDS_DIFF_OUT_IBUFDISABLE.vho
├── IBUFDS_DIFF_OUT_INTERMDISABLE.vho
├── IBUFDS_DIFF_OUT.vho
├── IBUFDS_GTE2.vho
├── IBUFDS_IBUFDISABLE.vho
├── IBUFDS_INTERMDISABLE.vho
├── IBUFDS.vho
├── IBUF_IBUFDISABLE.vho
├── IBUF_INTERMDISABLE.vho
├── IBUF.vho
├── ICAPE2.vho
├── IDDR_2CLK.vho
├── IDDR.vho
├── IDELAYCTRL.vho
├── IDELAYE2.vho
├── IN_FIFO.vho
├── IOBUF_DCIEN.vho
├── IOBUFDS_DCIEN.vho
├── IOBUFDS_DIFF_OUT_DCIEN.vho
├── IOBUFDS_DIFF_OUT_INTERMDISABLE.vho
├── IOBUFDS_DIFF_OUT.vho
├── IOBUFDS_INTERMDISABLE.vho
├── IOBUFDS.vho
├── IOBUF_INTERMDISABLE.vho
├── IOBUF.vho
├── ISERDESE2.vho
├── KEEPER.vho
├── LDCE.vho
├── LDPE.vho
├── LUT1_D.vho
├── LUT1_L.vho
├── LUT1.vho
├── LUT2_D.vho
├── LUT2_L.vho
├── LUT2.vho
├── LUT3_D.vho
├── LUT3_L.vho
├── LUT3.vho
├── LUT4_D.vho
├── LUT4_L.vho
├── LUT4.vho
├── LUT5_D.vho
├── LUT5_L.vho
├── LUT5.vho
├── LUT6_2.vho
├── LUT6_D.vho
├── LUT6_L.vho
├── LUT6.vho
├── MACC_MACRO.vho
├── MMCME2_ADV.vho
├── MMCME2_BASE.vho
├── MULT_MACRO.vho
├── MUXF7_D.vho
├── MUXF7_L.vho
├── MUXF7.vho
├── MUXF8_D.vho
├── MUXF8_L.vho
├── MUXF8.vho
├── OBUFDS.vho
├── OBUFTDS.vho
├── OBUFT.vho
├── OBUF.vho
├── ODDR.vho
├── ODELAYE2.vho
├── OSERDESE2.vho
├── OUT_FIFO.vho
├── PLLE2_ADV.vho
├── PLLE2_BASE.vho
├── PULLDOWN.vho
├── PULLUP.vho
├── RAM128X1D.vho
├── RAM128X1S_1.vho
├── RAM128X1S.vho
├── RAM256X1S.vho
├── RAM32M.vho
├── RAM32X1D_1.vho
├── RAM32X1D.vho
├── RAM32X1S_1.vho
├── RAM32X1S.vho
├── RAM32X2S.vho
├── RAM64M.vho
├── RAM64X1D.vho
├── RAM64X1S_1.vho
├── RAM64X1S.vho
├── RAMB18E1.vho
├── RAMB36E1.vho
├── ROM128X1.vho
├── ROM256X1.vho
├── ROM32X1.vho
├── ROM64X1.vho
├── SRL16E.vho
├── SRLC32E.vho
├── STARTUPE2.vho
├── USR_ACCESSE2.vho
└── XADC.vho
3 directories, 267 files
标签:
相关软件
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论