实例介绍
里面有提取信号fft特征参数的具体实现,运行完全没问题,平台为quartus2
【实例截图】
【核心代码】
fftinterface
└── fftinterface
├── 1
│ ├── GEN.vwf
│ ├── TEST1.bdf
│ ├── TEST2.bdf
│ └── TEST3.bdf
├── 10.txt
├── BUS.vwf
├── Block1.bdf
├── GEN.bsf
├── GEN.vhd
├── GEN.vhd.bak
├── GEN.vht
├── GEN.vwf
├── MCUBUS.bsf
├── MCUBUS.vhd
├── MCUBUS.vhd.bak
├── MUX.bsf
├── MUX.vhd
├── MUX.vhd.bak
├── TE.vwf
├── TEST3.bdf
├── TRANS.bsf
├── TRANS.vhd
├── TRANS.vhd.bak
├── Waveform1.vwf
├── address.vhd
├── blockdram.vhd
├── cfft.vhd
├── cfft1024X12.bsf
├── cfft1024X12.vhd
├── cfft1024X12.vhd.bak
├── cfft4.vhd
├── db
│ └── ffti.db_info
├── div4limit.vhd
├── ff.bsf
├── ff.vhd
├── ffti.asm.rpt
├── ffti.bdf
├── ffti.cdf
├── ffti.db_info
├── ffti.done
├── ffti.dpf
├── ffti.eda.rpt
├── ffti.fit.rpt
├── ffti.fit.smsg
├── ffti.fit.summary
├── ffti.flow.rpt
├── ffti.map.rpt
├── ffti.map.summary
├── ffti.mif_update.rpt
├── ffti.pin
├── ffti.pof
├── ffti.qpf
├── ffti.qsf
├── ffti.sim.rpt
├── ffti.sof
├── ffti.tan.rpt
├── ffti.tan.summary
├── ffti.vwf
├── ffti_assignment_defaults.qdf
├── ffti_nativelink_simulation.rpt
├── mulfactor.vhd
├── p2r_CordicPipe.vhd
├── p2r_cordic.vhd
├── prev_cmp_ffti.qmsg
├── ram.vhd
├── ramdata.bsf
├── ramdata.vhd
├── ramwave.bsf
├── ramwave.mif
├── ramwave.mif.bak
├── ramwave.vhd
├── rofactor.vhd
├── sc_corproc.vhd
├── simulation
│ └── modelsim
│ ├── ffti.vho
│ ├── ffti_modelsim.xrf
│ ├── ffti_run_msim_rtl_vhdl.do
│ ├── ffti_run_msim_rtl_vhdl.do.bak
│ ├── ffti_run_msim_rtl_vhdl.do.bak1
│ ├── ffti_vhd.sdo
│ ├── modelsim.ini
│ ├── msim_transcript
│ ├── rtl_work
│ │ ├── _info
│ │ ├── _opt
│ │ │ ├── __model_tech_.._ieee__info
│ │ │ ├── __model_tech_.._std__info
│ │ │ ├── _deps
│ │ │ ├── rtl_work__info
│ │ │ ├── rtl_work_test1_vhd_sample_tst_sample_arch.asm
│ │ │ ├── rtl_work_test1_vhd_tb_types__vhdl.asm
│ │ │ └── rtl_work_test1_vhd_tb_types_body.asm
│ │ ├── _opt1
│ │ │ ├── __model_tech_.._ieee__info
│ │ │ ├── __model_tech_.._std__info
│ │ │ ├── _deps
│ │ │ ├── rtl_work__info
│ │ │ ├── rtl_work_test1_vhd_check_tst_ovec_arch__1.asm
│ │ │ ├── rtl_work_test1_vhd_tb_types__vhdl.asm
│ │ │ └── rtl_work_test1_vhd_tb_types_body.asm
│ │ ├── _opt2
│ │ │ ├── __model_tech_.._ieee__info
│ │ │ ├── __model_tech_.._std__info
│ │ │ ├── _deps
│ │ │ ├── rtl_work__info
│ │ │ ├── rtl_work_test1_vhd_check_tst_ovec_arch__1.asm
│ │ │ ├── rtl_work_test1_vhd_sample_tst_sample_arch.asm
│ │ │ ├── rtl_work_test1_vhd_tb_types__vhdl.asm
│ │ │ ├── rtl_work_test1_vhd_tb_types_body.asm
│ │ │ └── rtl_work_test1_vhd_vec_tst_test1_arch__1.asm
│ │ ├── address
│ │ │ ├── _primary.dat
│ │ │ └── address.dat
│ │ ├── blockdram
│ │ │ ├── _primary.dat
│ │ │ └── arch_blockdram.dat
│ │ ├── cfft
│ │ │ ├── _primary.dat
│ │ │ └── cfft.dat
│ │ ├── cfft1024x12
│ │ │ ├── _primary.dat
│ │ │ └── imp.dat
│ │ ├── cfft4
│ │ │ ├── _primary.dat
│ │ │ └── cfft4.dat
│ │ ├── div4limit
│ │ │ ├── _primary.dat
│ │ │ └── div4limit.dat
│ │ ├── gen
│ │ │ ├── _primary.dat
│ │ │ └── art.dat
│ │ ├── mulfactor
│ │ │ ├── _primary.dat
│ │ │ └── mulfactor.dat
│ │ ├── p2r_cordic
│ │ │ ├── _primary.dat
│ │ │ └── dataflow.dat
│ │ ├── p2r_cordicpipe
│ │ │ ├── _primary.dat
│ │ │ └── dataflow.dat
│ │ ├── ramdata
│ │ │ ├── _primary.dat
│ │ │ └── syn.dat
│ │ ├── rofactor
│ │ │ ├── _primary.dat
│ │ │ └── rofactor.dat
│ │ ├── sc_corproc
│ │ │ ├── _primary.dat
│ │ │ └── dataflow.dat
│ │ ├── test1_vhd_check_tst
│ │ │ ├── _primary.dat
│ │ │ └── ovec_arch.dat
│ │ ├── test1_vhd_sample_tst
│ │ │ ├── _primary.dat
│ │ │ └── sample_arch.dat
│ │ ├── test1_vhd_tb_types
│ │ │ ├── _primary.dat
│ │ │ └── body.dat
│ │ └── test1_vhd_vec_tst
│ │ ├── _primary.dat
│ │ └── test1_arch.dat
│ ├── vhdl_libs
│ │ ├── altera
│ │ │ ├── _info
│ │ │ ├── alt_bidir_buf
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── alt_bidir_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── alt_inbuf
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── alt_inbuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── alt_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── alt_iobuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── alt_outbuf
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── alt_outbuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── alt_outbuf_tri
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── alt_outbuf_tri_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── altera_primitives_components
│ │ │ │ └── _primary.dat
│ │ │ ├── carry
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── carry_sum
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── cascade
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── clklock
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dffe
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dffea
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dffeas
│ │ │ │ ├── _primary.dat
│ │ │ │ └── vital_dffeas.dat
│ │ │ ├── dffeas_pack
│ │ │ │ └── _primary.dat
│ │ │ ├── dlatch
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── exp
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── global
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── jkff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── jkffe
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── latch
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── lut_input
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── lut_output
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── opndrn
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── prim_gdff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── prim_gjkff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── prim_gsrff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── prim_gtff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── row_global
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── soft
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── srff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── srffe
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── tff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── tffe
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ └── tri
│ │ │ ├── _primary.dat
│ │ │ └── behavior.dat
│ │ ├── altera_mf
│ │ │ ├── _info
│ │ │ ├── a_graycounter
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── alt3pram
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── altaccumulate
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behaviour.dat
│ │ │ ├── altcam
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── altcdr_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ └── struct.dat
│ │ │ ├── altcdr_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ └── struct.dat
│ │ │ ├── altclklock
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── altddio_bidir
│ │ │ │ ├── _primary.dat
│ │ │ │ └── struct.dat
│ │ │ ├── altddio_in
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── altddio_out
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── altdpram
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── altera_common_conversion
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── altera_device_families
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── altera_mf_components
│ │ │ │ └── _primary.dat
│ │ │ ├── altera_mf_hint_evaluation
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── altfp_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── altlvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── altlvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── altmult_accum
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behaviour.dat
│ │ │ ├── altmult_add
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behaviour.dat
│ │ │ ├── altparallel_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ └── sim_altparallel_flash_loader.dat
│ │ │ ├── altpll
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── altqpram
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── altserial_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ └── sim_altserial_flash_loader.dat
│ │ │ ├── altshift_taps
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavioural.dat
│ │ │ ├── altsqrt
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── altsquare
│ │ │ │ ├── _primary.dat
│ │ │ │ └── altsquare_syn.dat
│ │ │ ├── altstratixii_oct
│ │ │ │ ├── _primary.dat
│ │ │ │ └── sim_altstratixii_oct.dat
│ │ │ ├── altsyncram
│ │ │ │ ├── _primary.dat
│ │ │ │ └── translated.dat
│ │ │ ├── arm_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── arm_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── arm_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── dcfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dcfifo_async
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dcfifo_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dcfifo_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dcfifo_low_latency
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dcfifo_mixed_widths
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dcfifo_pack
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── dcfifo_sync
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── dffp
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── dummy_hub
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── flexible_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── flexible_lvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── hssi_fifo
│ │ │ │ ├── _primary.dat
│ │ │ │ └── synchronizer.dat
│ │ │ ├── hssi_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── hssi_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ └── hssi_receiver.dat
│ │ │ ├── hssi_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ └── transmitter.dat
│ │ │ ├── jtag_tap_controller
│ │ │ │ ├── _primary.dat
│ │ │ │ └── fsm.dat
│ │ │ ├── lcell
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── mf_cda_mn_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── mf_cda_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── mf_cycloneiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ └── vital_pll.dat
│ │ │ ├── mf_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── mf_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── mf_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── mf_pllpack
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── mf_ram7x20_syn
│ │ │ │ ├── _primary.dat
│ │ │ │ └── hssi_ram7x20_syn.dat
│ │ │ ├── mf_stratix_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ └── vital_pll.dat
│ │ │ ├── mf_stratixii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ └── vital_pll.dat
│ │ │ ├── mf_stratixiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ └── vital_pll.dat
│ │ │ ├── mf_ttn_mn_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── mf_ttn_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── parallel_add
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behaviour.dat
│ │ │ ├── pll_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── scfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── signal_gen
│ │ │ │ ├── _primary.dat
│ │ │ │ └── simmodel.dat
│ │ │ ├── sld_node
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── sld_signaltap
│ │ │ │ ├── _primary.dat
│ │ │ │ └── sim_sld_signaltap.dat
│ │ │ ├── sld_virtual_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ └── structural.dat
│ │ │ ├── stratix_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── stratixii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── stratixii_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── stratixiii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ └── stx_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ └── behave.dat
│ │ ├── cyclone
│ │ │ ├── _info
│ │ │ ├── cyclone_and1
│ │ │ │ ├── _primary.dat
│ │ │ │ └── altvital.dat
│ │ │ ├── cyclone_asmiblock
│ │ │ │ ├── _primary.dat
│ │ │ │ └── architecture_asmiblock.dat
│ │ │ ├── cyclone_asynch_io
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── cyclone_asynch_lcell
│ │ │ │ ├── _primary.dat
│ │ │ │ └── vital_le.dat
│ │ │ ├── cyclone_atom_pack
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── cyclone_components
│ │ │ │ └── _primary.dat
│ │ │ ├── cyclone_crcblock
│ │ │ │ ├── _primary.dat
│ │ │ │ └── architecture_crcblock.dat
│ │ │ ├── cyclone_dffe
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── cyclone_dll
│ │ │ │ ├── _primary.dat
│ │ │ │ └── vital_dll.dat
│ │ │ ├── cyclone_io
│ │ │ │ ├── _primary.dat
│ │ │ │ └── structure.dat
│ │ │ ├── cyclone_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ └── architecture_jtag.dat
│ │ │ ├── cyclone_lcell
│ │ │ │ ├── _primary.dat
│ │ │ │ └── vital_le_atom.dat
│ │ │ ├── cyclone_lcell_register
│ │ │ │ ├── _primary.dat
│ │ │ │ └── vital_le_reg.dat
│ │ │ ├── cyclone_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── cyclone_mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ └── altvital.dat
│ │ │ ├── cyclone_mux41
│ │ │ │ ├── _primary.dat
│ │ │ │ └── altvital.dat
│ │ │ ├── cyclone_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── cyclone_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ └── vital_pll.dat
│ │ │ ├── cyclone_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── cyclone_pllpack
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── cyclone_ram_block
│ │ │ │ ├── _primary.dat
│ │ │ │ └── block_arch.dat
│ │ │ ├── cyclone_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ └── pgen_arch.dat
│ │ │ ├── cyclone_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ └── reg_arch.dat
│ │ │ ├── cyclone_routing_wire
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ └── cyclone_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ └── behave.dat
│ │ ├── lpm
│ │ │ ├── _info
│ │ │ ├── lpm_abs
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_add_sub
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_add_sub_signed
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_add_sub_unsigned
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_and
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_bipad
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_bustri
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_clshift
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_common_conversion
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── lpm_compare
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_compare_signed
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_compare_unsigned
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_components
│ │ │ │ └── _primary.dat
│ │ │ ├── lpm_constant
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_counter
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_decode
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_device_families
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── lpm_divide
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behave.dat
│ │ │ ├── lpm_ff
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_fifo
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── lpm_fifo_dc
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── lpm_fifo_dc_async
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── lpm_fifo_dc_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── lpm_fifo_dc_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ └── behavior.dat
│ │ │ ├── lpm_hint_evaluation
│ │ │ │ ├── _primary.dat
│ │ │ │ └── body.dat
│ │ │ ├── lpm_inpad
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_inv
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_or
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_outpad
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_ram_dp
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_ram_dq
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_ram_io
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_rom
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ ├── lpm_shiftreg
│ │ │ │ ├── _primary.dat
│ │ │ │ └── lpm_syn.dat
│ │ │ └── lpm_xor
│ │ │ ├── _primary.dat
│ │ │ └── lpm_syn.dat
│ │ └── sgate
│ │ ├── _info
│ │ ├── io_buf_opdrn
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── io_buf_tri
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── mux21
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_add
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_addsub
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_bus_mux
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_decoder
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_div
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_latch
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_left_shift
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_less_than
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_mod
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_mult
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_mux
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_prio_selector
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_right_shift
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_rotate_left
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_rotate_right
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── oper_selector
│ │ │ ├── _primary.dat
│ │ │ └── sim_arch.dat
│ │ ├── sgate_pack
│ │ │ ├── _primary.dat
│ │ │ └── body.dat
│ │ └── tri_bus
│ │ ├── _primary.dat
│ │ └── sim_arch.dat
│ └── vsim.wlf
├── test.mif
├── test.mif.bak
└── undo_redo.txt
231 directories, 541 files
标签:
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论