实例介绍
Quartus和Modelsim中仿真ROM所需文件和例子
【实例截图】
【核心代码】
Quartus和Modelsim中仿真ROM
├── 02_RomSim
│ ├── 220model.v
│ ├── RomSim.cr.mti
│ ├── RomSim.mpf
│ ├── RomSim.v
│ ├── altera_mf.v
│ ├── src
│ │ ├── sin.hex
│ │ ├── sin.v
│ │ └── sin.ver
│ ├── vsim.wlf
│ └── work
│ ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @m@f_cycloneiii_pll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @m@f_pll_reg
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @m@f_stratix_pll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @m@f_stratixii_pll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @m@f_stratixiii_pll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── @rom@sim
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── _info
│ ├── _vmake
│ ├── a_graycounter
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── alt3pram
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── alt_cal
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altaccumulate
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altcam
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altclklock
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altddio_bidir
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altddio_in
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altddio_out
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altdpram
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altdq_dqs
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altera_std_synchronizer
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altera_std_synchronizer_bundle
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altfp_mult
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altlvds_rx
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altlvds_tx
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altmult_accum
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altmult_add
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altparallel_flash_loader
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altpll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altqpram
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altserial_flash_loader
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altshift_taps
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altsource_probe
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altsqrt
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altsquare
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altstratixii_oct
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── altsyncram
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── arm_m_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── arm_n_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── arm_scale_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── cda_m_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── cda_n_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── cda_scale_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── dcfifo
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── dcfifo_async
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── dcfifo_dffpipe
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── dcfifo_fefifo
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── dcfifo_low_latency
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── dcfifo_mixed_widths
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── dcfifo_sync
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── dffp
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── dummy_hub
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── flexible_lvds_rx
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── flexible_lvds_tx
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── jtag_tap_controller
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lcell
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_abs
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_add_sub
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_and
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_bipad
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_bustri
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_clshift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_compare
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_constant
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_counter
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_decode
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_divide
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_ff
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_fifo
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_fifo_dc
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_fifo_dc_async
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_fifo_dc_dffpipe
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_fifo_dc_fefifo
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_inpad
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_inv
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_latch
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_mult
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_or
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_outpad
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_ram_dp
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_ram_dq
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_ram_io
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_rom
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_shiftreg
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── lpm_xor
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── parallel_add
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── pll_iobuf
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── scfifo
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── signal_gen
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── sin
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── sld_signaltap
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── sld_virtual_jtag
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stratix_lvds_rx
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stratix_tx_outclk
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stratixgx_dpa_lvds_rx
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stratixii_lvds_rx
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stratixii_tx_outclk
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stratixiii_lvds_rx
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stratixiii_lvds_rx_channel
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stratixiii_lvds_rx_dpa
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stx_m_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stx_n_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── stx_scale_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── ttn_m_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ ├── ttn_n_cntr
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ └── verilog.psm
│ └── ttn_scale_cntr
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── _primary.vhd
│ └── verilog.psm
├── 02_Wave
│ ├── Wave.asm.rpt
│ ├── Wave.done
│ ├── Wave.dpf
│ ├── Wave.fit.rpt
│ ├── Wave.fit.smsg
│ ├── Wave.fit.summary
│ ├── Wave.flow.rpt
│ ├── Wave.map.rpt
│ ├── Wave.map.summary
│ ├── Wave.pin
│ ├── Wave.pof
│ ├── Wave.qpf
│ ├── Wave.qsf
│ ├── Wave.qws
│ ├── Wave.sim.rpt
│ ├── Wave.sof
│ ├── Wave.tan.rpt
│ ├── Wave.tan.summary
│ ├── Wave.v
│ ├── Wave.v.bak
│ ├── Wave.vwf
│ ├── db
│ │ ├── Wave.(0).cnf.cdb
│ │ ├── Wave.(0).cnf.hdb
│ │ ├── Wave.(1).cnf.cdb
│ │ ├── Wave.(1).cnf.hdb
│ │ ├── Wave.(2).cnf.cdb
│ │ ├── Wave.(2).cnf.hdb
│ │ ├── Wave.(3).cnf.cdb
│ │ ├── Wave.(3).cnf.hdb
│ │ ├── Wave.(4).cnf.cdb
│ │ ├── Wave.(4).cnf.hdb
│ │ ├── Wave.ace_cmp.bpm
│ │ ├── Wave.ace_cmp.cdb
│ │ ├── Wave.ace_cmp.ecobp
│ │ ├── Wave.ace_cmp.hdb
│ │ ├── Wave.asm.qmsg
│ │ ├── Wave.asm_labs.ddb
│ │ ├── Wave.cbx.xml
│ │ ├── Wave.cmp.bpm
│ │ ├── Wave.cmp.cdb
│ │ ├── Wave.cmp.ecobp
│ │ ├── Wave.cmp.hdb
│ │ ├── Wave.cmp.kpt
│ │ ├── Wave.cmp.logdb
│ │ ├── Wave.cmp.rdb
│ │ ├── Wave.cmp.tdb
│ │ ├── Wave.cmp0.ddb
│ │ ├── Wave.cmp2.ddb
│ │ ├── Wave.cmp_merge.kpt
│ │ ├── Wave.db_info
│ │ ├── Wave.eco.cdb
│ │ ├── Wave.eds_overflow
│ │ ├── Wave.fit.qmsg
│ │ ├── Wave.fnsim.cdb
│ │ ├── Wave.fnsim.hdb
│ │ ├── Wave.fnsim.qmsg
│ │ ├── Wave.hier_info
│ │ ├── Wave.hif
│ │ ├── Wave.lpc.html
│ │ ├── Wave.lpc.rdb
│ │ ├── Wave.lpc.txt
│ │ ├── Wave.map.bpm
│ │ ├── Wave.map.cdb
│ │ ├── Wave.map.ecobp
│ │ ├── Wave.map.hdb
│ │ ├── Wave.map.kpt
│ │ ├── Wave.map.logdb
│ │ ├── Wave.map.qmsg
│ │ ├── Wave.map_bb.cdb
│ │ ├── Wave.map_bb.hdb
│ │ ├── Wave.map_bb.logdb
│ │ ├── Wave.pre_map.cdb
│ │ ├── Wave.pre_map.hdb
│ │ ├── Wave.rtlv.hdb
│ │ ├── Wave.rtlv_sg.cdb
│ │ ├── Wave.rtlv_sg_swap.cdb
│ │ ├── Wave.sgdiff.cdb
│ │ ├── Wave.sgdiff.hdb
│ │ ├── Wave.sim.cvwf
│ │ ├── Wave.sim.hdb
│ │ ├── Wave.sim.qmsg
│ │ ├── Wave.sim.rdb
│ │ ├── Wave.simfam
│ │ ├── Wave.sld_design_entry.sci
│ │ ├── Wave.sld_design_entry_dsc.sci
│ │ ├── Wave.syn_hier_info
│ │ ├── Wave.tan.qmsg
│ │ ├── Wave.tis_db_list.ddb
│ │ ├── Wave.tmw_info
│ │ ├── Wave_global_asgn_op.abo
│ │ ├── altsyncram_ar71.tdf
│ │ ├── altsyncram_q871.tdf
│ │ ├── prev_cmp_Wave.asm.qmsg
│ │ ├── prev_cmp_Wave.fit.qmsg
│ │ ├── prev_cmp_Wave.map.qmsg
│ │ ├── prev_cmp_Wave.qmsg
│ │ ├── prev_cmp_Wave.sim.qmsg
│ │ ├── prev_cmp_Wave.tan.qmsg
│ │ └── wed.wsf
│ ├── incremental_db
│ │ ├── README
│ │ └── compiled_partitions
│ │ ├── Wave.root_partition.cmp.atm
│ │ ├── Wave.root_partition.cmp.dfp
│ │ ├── Wave.root_partition.cmp.hdbx
│ │ ├── Wave.root_partition.cmp.kpt
│ │ ├── Wave.root_partition.cmp.logdb
│ │ ├── Wave.root_partition.cmp.rcf
│ │ ├── Wave.root_partition.map.atm
│ │ ├── Wave.root_partition.map.dpi
│ │ ├── Wave.root_partition.map.hdbx
│ │ └── Wave.root_partition.map.kpt
│ └── src
│ ├── LED_Test.v.bak
│ ├── LED_Test.vwf
│ ├── sin.hex
│ ├── sin.mif
│ └── sin.v
└── Modelsim仿真altera ROM所需文件
├── 220model.v
├── altera_mf.v
└── convert_hex2ver.dll
119 directories, 569 files
标签:
相关软件
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论