在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → UVM-1.1d

UVM-1.1d

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:3.07M
  • 下载次数:1
  • 浏览次数:58
  • 发布时间:2023-08-28
  • 实例类别:一般编程问题
  • 发 布 人:nantongxiaokang@126.com
  • 文件格式:.gz
  • 所需积分:2
 相关标签: 1.1 UVM VM

实例介绍

【实例简介】UVM-1.1d

【实例截图】

from clipboard

【核心代码】

.
├── uvm-1.1d
│   ├── LICENSE.txt
│   ├── NOTICE.txt
│   ├── README.txt
│   ├── UVM_Reference.html
│   ├── bin
│   │   ├── add_uvm_object_new.pl
│   │   ├── ovm2uvm.pl
│   │   ├── uvm_dpi_name
│   │   └── uvm_os_name
│   ├── docs
│   │   ├── html
│   │   │   ├── files
│   │   │   │   ├── base
│   │   │   │   │   ├── uvm_barrier-svh.html
│   │   │   │   │   ├── uvm_bottomup_phase-svh.html
│   │   │   │   │   ├── uvm_callback-svh.html
│   │   │   │   │   ├── uvm_cmdline_processor-svh.html
│   │   │   │   │   ├── uvm_common_phases-svh.html
│   │   │   │   │   ├── uvm_comparer-svh.html
│   │   │   │   │   ├── uvm_component-svh.html
│   │   │   │   │   ├── uvm_config_db-svh.html
│   │   │   │   │   ├── uvm_domain-svh.html
│   │   │   │   │   ├── uvm_event-svh.html
│   │   │   │   │   ├── uvm_event_callback-svh.html
│   │   │   │   │   ├── uvm_factory-svh.html
│   │   │   │   │   ├── uvm_globals-svh.html
│   │   │   │   │   ├── uvm_heartbeat-svh.html
│   │   │   │   │   ├── uvm_misc-svh.html
│   │   │   │   │   ├── uvm_object-svh.html
│   │   │   │   │   ├── uvm_object_globals-svh.html
│   │   │   │   │   ├── uvm_objection-svh.html
│   │   │   │   │   ├── uvm_packer-svh.html
│   │   │   │   │   ├── uvm_phase-svh.html
│   │   │   │   │   ├── uvm_pool-svh.html
│   │   │   │   │   ├── uvm_port_base-svh.html
│   │   │   │   │   ├── uvm_printer-svh.html
│   │   │   │   │   ├── uvm_queue-svh.html
│   │   │   │   │   ├── uvm_recorder-svh.html
│   │   │   │   │   ├── uvm_registry-svh.html
│   │   │   │   │   ├── uvm_report_catcher-svh.html
│   │   │   │   │   ├── uvm_report_handler-svh.html
│   │   │   │   │   ├── uvm_report_object-svh.html
│   │   │   │   │   ├── uvm_report_server-svh.html
│   │   │   │   │   ├── uvm_resource-svh.html
│   │   │   │   │   ├── uvm_resource_db-svh.html
│   │   │   │   │   ├── uvm_root-svh.html
│   │   │   │   │   ├── uvm_runtime_phases-svh.html
│   │   │   │   │   ├── uvm_task_phase-svh.html
│   │   │   │   │   ├── uvm_topdown_phase-svh.html
│   │   │   │   │   └── uvm_transaction-svh.html
│   │   │   │   ├── comps
│   │   │   │   │   ├── uvm_agent-svh.html
│   │   │   │   │   ├── uvm_algorithmic_comparator-svh.html
│   │   │   │   │   ├── uvm_driver-svh.html
│   │   │   │   │   ├── uvm_env-svh.html
│   │   │   │   │   ├── uvm_in_order_comparator-svh.html
│   │   │   │   │   ├── uvm_monitor-svh.html
│   │   │   │   │   ├── uvm_pair-svh.html
│   │   │   │   │   ├── uvm_policies-svh.html
│   │   │   │   │   ├── uvm_push_driver-svh.html
│   │   │   │   │   ├── uvm_random_stimulus-svh.html
│   │   │   │   │   ├── uvm_scoreboard-svh.html
│   │   │   │   │   ├── uvm_subscriber-svh.html
│   │   │   │   │   └── uvm_test-svh.html
│   │   │   │   ├── dpi
│   │   │   │   │   └── uvm_hdl-svh.html
│   │   │   │   ├── macros
│   │   │   │   │   ├── uvm_callback_defines-svh.html
│   │   │   │   │   ├── uvm_message_defines-svh.html
│   │   │   │   │   ├── uvm_object_defines-svh.html
│   │   │   │   │   ├── uvm_reg_defines-svh.html
│   │   │   │   │   ├── uvm_sequence_defines-svh.html
│   │   │   │   │   └── uvm_tlm_defines-svh.html
│   │   │   │   ├── overviews
│   │   │   │   │   ├── base-txt.html
│   │   │   │   │   ├── cmdlineproc-txt.html
│   │   │   │   │   ├── comparators-txt.html
│   │   │   │   │   ├── components-txt.html
│   │   │   │   │   ├── config_and_res-txt.html
│   │   │   │   │   ├── containers-txt.html
│   │   │   │   │   ├── factory-txt.html
│   │   │   │   │   ├── intro-txt.html
│   │   │   │   │   ├── phasing-txt.html
│   │   │   │   │   ├── policies-txt.html
│   │   │   │   │   ├── registers-txt.html
│   │   │   │   │   ├── reporting-txt.html
│   │   │   │   │   ├── sequencers-txt.html
│   │   │   │   │   ├── sequences-txt.html
│   │   │   │   │   ├── synchro-txt.html
│   │   │   │   │   ├── test-phasing-txt.html
│   │   │   │   │   ├── tlm1-txt.html
│   │   │   │   │   ├── tlm2-txt.html
│   │   │   │   │   └── tlm_ifs_and_ports-txt.html
│   │   │   │   ├── reg
│   │   │   │   │   ├── sequences
│   │   │   │   │   │   ├── uvm_mem_access_seq-svh.html
│   │   │   │   │   │   ├── uvm_mem_walk_seq-svh.html
│   │   │   │   │   │   ├── uvm_reg_access_seq-svh.html
│   │   │   │   │   │   ├── uvm_reg_bit_bash_seq-svh.html
│   │   │   │   │   │   ├── uvm_reg_hw_reset_seq-svh.html
│   │   │   │   │   │   ├── uvm_reg_mem_built_in_seq-svh.html
│   │   │   │   │   │   ├── uvm_reg_mem_hdl_paths_seq-svh.html
│   │   │   │   │   │   └── uvm_reg_mem_shared_access_seq-svh.html
│   │   │   │   │   ├── uvm_mem-svh.html
│   │   │   │   │   ├── uvm_mem_mam-svh.html
│   │   │   │   │   ├── uvm_reg-svh.html
│   │   │   │   │   ├── uvm_reg_adapter-svh.html
│   │   │   │   │   ├── uvm_reg_backdoor-svh.html
│   │   │   │   │   ├── uvm_reg_block-svh.html
│   │   │   │   │   ├── uvm_reg_cbs-svh.html
│   │   │   │   │   ├── uvm_reg_field-svh.html
│   │   │   │   │   ├── uvm_reg_fifo-svh.html
│   │   │   │   │   ├── uvm_reg_file-svh.html
│   │   │   │   │   ├── uvm_reg_indirect-svh.html
│   │   │   │   │   ├── uvm_reg_item-svh.html
│   │   │   │   │   ├── uvm_reg_map-svh.html
│   │   │   │   │   ├── uvm_reg_model-svh.html
│   │   │   │   │   ├── uvm_reg_predictor-svh.html
│   │   │   │   │   ├── uvm_reg_sequence-svh.html
│   │   │   │   │   ├── uvm_vreg-svh.html
│   │   │   │   │   └── uvm_vreg_field-svh.html
│   │   │   │   ├── seq
│   │   │   │   │   ├── uvm_push_sequencer-svh.html
│   │   │   │   │   ├── uvm_sequence-svh.html
│   │   │   │   │   ├── uvm_sequence_base-svh.html
│   │   │   │   │   ├── uvm_sequence_item-svh.html
│   │   │   │   │   ├── uvm_sequencer-svh.html
│   │   │   │   │   ├── uvm_sequencer_base-svh.html
│   │   │   │   │   └── uvm_sequencer_param_base-svh.html
│   │   │   │   ├── tlm1
│   │   │   │   │   ├── uvm_analysis_port-svh.html
│   │   │   │   │   ├── uvm_exports-svh.html
│   │   │   │   │   ├── uvm_imps-svh.html
│   │   │   │   │   ├── uvm_ports-svh.html
│   │   │   │   │   ├── uvm_sqr_connections-svh.html
│   │   │   │   │   ├── uvm_sqr_ifs-svh.html
│   │   │   │   │   ├── uvm_tlm_fifo_base-svh.html
│   │   │   │   │   ├── uvm_tlm_fifos-svh.html
│   │   │   │   │   ├── uvm_tlm_ifs-svh.html
│   │   │   │   │   └── uvm_tlm_req_rsp-svh.html
│   │   │   │   └── tlm2
│   │   │   │       ├── uvm_tlm2_defines-svh.html
│   │   │   │       ├── uvm_tlm2_exports-svh.html
│   │   │   │       ├── uvm_tlm2_generic_payload-svh.html
│   │   │   │       ├── uvm_tlm2_ifs-svh.html
│   │   │   │       ├── uvm_tlm2_imps-svh.html
│   │   │   │       ├── uvm_tlm2_ports-svh.html
│   │   │   │       ├── uvm_tlm2_sockets-svh.html
│   │   │   │       ├── uvm_tlm2_sockets_base-svh.html
│   │   │   │       └── uvm_tlm2_time-svh.html
│   │   │   ├── images
│   │   │   │   ├── bg_column_green.gif
│   │   │   │   ├── bg_column_green_grey.gif
│   │   │   │   ├── bg_feature.jpg
│   │   │   │   ├── bg_h3_roundcorners.gif
│   │   │   │   ├── bg_main.gif
│   │   │   │   ├── bg_masthead.jpg
│   │   │   │   ├── bg_navbar.gif
│   │   │   │   ├── bg_roundcorners2.gif
│   │   │   │   ├── bg_tableheader.gif
│   │   │   │   ├── bg_thick_grey_bar.gif
│   │   │   │   ├── bullet_GreenOnGrey.gif
│   │   │   │   ├── uvm_ref_base.gif
│   │   │   │   ├── uvm_ref_comparators.gif
│   │   │   │   ├── uvm_ref_components.gif
│   │   │   │   ├── uvm_ref_factory.gif
│   │   │   │   ├── uvm_ref_phases_uml.gif
│   │   │   │   ├── uvm_ref_reg_class_map.gif
│   │   │   │   ├── uvm_ref_reporting.gif
│   │   │   │   ├── uvm_ref_root.gif
│   │   │   │   ├── uvm_ref_seq_item_ports.gif
│   │   │   │   ├── uvm_ref_sequence.gif
│   │   │   │   ├── uvm_ref_sequencer.gif
│   │   │   │   ├── uvm_ref_sync.gif
│   │   │   │   ├── uvm_ref_tlm_analysis_if.gif
│   │   │   │   ├── uvm_ref_tlm_bidir_ports.gif
│   │   │   │   ├── uvm_ref_tlm_get_peek_ifs.gif
│   │   │   │   ├── uvm_ref_tlm_hierarchy.gif
│   │   │   │   ├── uvm_ref_tlm_master_slave_ifs.gif
│   │   │   │   ├── uvm_ref_tlm_put_ifs.gif
│   │   │   │   ├── uvm_ref_tlm_transport_ifs.gif
│   │   │   │   └── uvm_ref_tlm_uni_ports.gif
│   │   │   ├── index
│   │   │   │   ├── Classes.html
│   │   │   │   ├── Constants.html
│   │   │   │   ├── Files.html
│   │   │   │   ├── General.html
│   │   │   │   ├── General2.html
│   │   │   │   ├── General3.html
│   │   │   │   ├── General4.html
│   │   │   │   ├── General5.html
│   │   │   │   ├── General6.html
│   │   │   │   ├── General7.html
│   │   │   │   ├── General8.html
│   │   │   │   ├── Macros.html
│   │   │   │   ├── Methods.html
│   │   │   │   ├── Methods2.html
│   │   │   │   ├── Methods3.html
│   │   │   │   ├── Methods4.html
│   │   │   │   ├── Methods5.html
│   │   │   │   ├── Methods6.html
│   │   │   │   ├── Ports.html
│   │   │   │   ├── Types.html
│   │   │   │   └── Variables.html
│   │   │   ├── index.html
│   │   │   ├── javascript
│   │   │   │   ├── main.js
│   │   │   │   └── searchdata.js
│   │   │   ├── logo.html
│   │   │   ├── menu.html
│   │   │   ├── search
│   │   │   │   ├── ClassesP.html
│   │   │   │   ├── ClassesU.html
│   │   │   │   ├── ConstantsB.html
│   │   │   │   ├── ConstantsC.html
│   │   │   │   ├── ConstantsE.html
│   │   │   │   ├── ConstantsF.html
│   │   │   │   ├── ConstantsP.html
│   │   │   │   ├── ConstantsS.html
│   │   │   │   ├── ConstantsU.html
│   │   │   │   ├── FilesU.html
│   │   │   │   ├── GeneralA.html
│   │   │   │   ├── GeneralB.html
│   │   │   │   ├── GeneralC.html
│   │   │   │   ├── GeneralD.html
│   │   │   │   ├── GeneralE.html
│   │   │   │   ├── GeneralF.html
│   │   │   │   ├── GeneralG.html
│   │   │   │   ├── GeneralH.html
│   │   │   │   ├── GeneralI.html
│   │   │   │   ├── GeneralJ.html
│   │   │   │   ├── GeneralK.html
│   │   │   │   ├── GeneralL.html
│   │   │   │   ├── GeneralM.html
│   │   │   │   ├── GeneralN.html
│   │   │   │   ├── GeneralO.html
│   │   │   │   ├── GeneralP.html
│   │   │   │   ├── GeneralR.html
│   │   │   │   ├── GeneralS.html
│   │   │   │   ├── GeneralSymbols.html
│   │   │   │   ├── GeneralT.html
│   │   │   │   ├── GeneralU.html
│   │   │   │   ├── GeneralV.html
│   │   │   │   ├── GeneralW.html
│   │   │   │   ├── MacrosSymbols.html
│   │   │   │   ├── MethodsA.html
│   │   │   │   ├── MethodsB.html
│   │   │   │   ├── MethodsC.html
│   │   │   │   ├── MethodsD.html
│   │   │   │   ├── MethodsE.html
│   │   │   │   ├── MethodsF.html
│   │   │   │   ├── MethodsG.html
│   │   │   │   ├── MethodsH.html
│   │   │   │   ├── MethodsI.html
│   │   │   │   ├── MethodsJ.html
│   │   │   │   ├── MethodsK.html
│   │   │   │   ├── MethodsL.html
│   │   │   │   ├── MethodsM.html
│   │   │   │   ├── MethodsN.html
│   │   │   │   ├── MethodsP.html
│   │   │   │   ├── MethodsR.html
│   │   │   │   ├── MethodsS.html
│   │   │   │   ├── MethodsT.html
│   │   │   │   ├── MethodsU.html
│   │   │   │   ├── MethodsW.html
│   │   │   │   ├── NoResults.html
│   │   │   │   ├── PortsA.html
│   │   │   │   ├── PortsB.html
│   │   │   │   ├── PortsG.html
│   │   │   │   ├── PortsM.html
│   │   │   │   ├── PortsP.html
│   │   │   │   ├── PortsR.html
│   │   │   │   ├── PortsS.html
│   │   │   │   ├── PortsT.html
│   │   │   │   ├── TypesA.html
│   │   │   │   ├── TypesL.html
│   │   │   │   ├── TypesU.html
│   │   │   │   ├── VariablesA.html
│   │   │   │   ├── VariablesB.html
│   │   │   │   ├── VariablesC.html
│   │   │   │   ├── VariablesD.html
│   │   │   │   ├── VariablesE.html
│   │   │   │   ├── VariablesF.html
│   │   │   │   ├── VariablesH.html
│   │   │   │   ├── VariablesI.html
│   │   │   │   ├── VariablesK.html
│   │   │   │   ├── VariablesL.html
│   │   │   │   ├── VariablesM.html
│   │   │   │   ├── VariablesN.html
│   │   │   │   ├── VariablesO.html
│   │   │   │   ├── VariablesP.html
│   │   │   │   ├── VariablesR.html
│   │   │   │   ├── VariablesS.html
│   │   │   │   ├── VariablesSymbols.html
│   │   │   │   ├── VariablesT.html
│   │   │   │   ├── VariablesU.html
│   │   │   │   └── VariablesV.html
│   │   │   ├── src
│   │   │   │   ├── base
│   │   │   │   │   ├── uvm_barrier.svh
│   │   │   │   │   ├── uvm_base.svh
│   │   │   │   │   ├── uvm_bottomup_phase.svh
│   │   │   │   │   ├── uvm_callback.svh
│   │   │   │   │   ├── uvm_cmdline_processor.svh
│   │   │   │   │   ├── uvm_common_phases.svh
│   │   │   │   │   ├── uvm_comparer.svh
│   │   │   │   │   ├── uvm_component.svh
│   │   │   │   │   ├── uvm_config_db.svh
│   │   │   │   │   ├── uvm_domain.svh
│   │   │   │   │   ├── uvm_event.svh
│   │   │   │   │   ├── uvm_event_callback.svh
│   │   │   │   │   ├── uvm_factory.svh
│   │   │   │   │   ├── uvm_globals.svh
│   │   │   │   │   ├── uvm_heartbeat.svh
│   │   │   │   │   ├── uvm_misc.svh
│   │   │   │   │   ├── uvm_object.svh
│   │   │   │   │   ├── uvm_object_globals.svh
│   │   │   │   │   ├── uvm_objection.svh
│   │   │   │   │   ├── uvm_packer.svh
│   │   │   │   │   ├── uvm_phase.svh
│   │   │   │   │   ├── uvm_pool.svh
│   │   │   │   │   ├── uvm_port_base.svh
│   │   │   │   │   ├── uvm_printer.svh
│   │   │   │   │   ├── uvm_queue.svh
│   │   │   │   │   ├── uvm_recorder.svh
│   │   │   │   │   ├── uvm_registry.svh
│   │   │   │   │   ├── uvm_report_catcher.svh
│   │   │   │   │   ├── uvm_report_handler.svh
│   │   │   │   │   ├── uvm_report_object.svh
│   │   │   │   │   ├── uvm_report_server.svh
│   │   │   │   │   ├── uvm_resource.svh
│   │   │   │   │   ├── uvm_resource_db.svh
│   │   │   │   │   ├── uvm_resource_specializations.svh
│   │   │   │   │   ├── uvm_root.svh
│   │   │   │   │   ├── uvm_runtime_phases.svh
│   │   │   │   │   ├── uvm_spell_chkr.svh
│   │   │   │   │   ├── uvm_task_phase.svh
│   │   │   │   │   ├── uvm_topdown_phase.svh
│   │   │   │   │   ├── uvm_transaction.svh
│   │   │   │   │   └── uvm_version.svh
│   │   │   │   ├── comps
│   │   │   │   │   ├── uvm_agent.svh
│   │   │   │   │   ├── uvm_algorithmic_comparator.svh
│   │   │   │   │   ├── uvm_comps.svh
│   │   │   │   │   ├── uvm_driver.svh
│   │   │   │   │   ├── uvm_env.svh
│   │   │   │   │   ├── uvm_in_order_comparator.svh
│   │   │   │   │   ├── uvm_monitor.svh
│   │   │   │   │   ├── uvm_pair.svh
│   │   │   │   │   ├── uvm_policies.svh
│   │   │   │   │   ├── uvm_push_driver.svh
│   │   │   │   │   ├── uvm_random_stimulus.svh
│   │   │   │   │   ├── uvm_scoreboard.svh
│   │   │   │   │   ├── uvm_subscriber.svh
│   │   │   │   │   └── uvm_test.svh
│   │   │   │   ├── deprecated
│   │   │   │   │   ├── readme.important
│   │   │   │   │   └── uvm_resource_converter.svh
│   │   │   │   ├── dpi
│   │   │   │   │   ├── uvm_dpi.cc
│   │   │   │   │   ├── uvm_dpi.svh
│   │   │   │   │   ├── uvm_hdl.c
│   │   │   │   │   ├── uvm_hdl.svh
│   │   │   │   │   ├── uvm_regex.cc
│   │   │   │   │   ├── uvm_regex.svh
│   │   │   │   │   ├── uvm_svcmd_dpi.c
│   │   │   │   │   └── uvm_svcmd_dpi.svh
│   │   │   │   ├── macros
│   │   │   │   │   ├── uvm_callback_defines.svh
│   │   │   │   │   ├── uvm_deprecated_defines.svh
│   │   │   │   │   ├── uvm_message_defines.svh
│   │   │   │   │   ├── uvm_object_defines.svh
│   │   │   │   │   ├── uvm_phase_defines.svh
│   │   │   │   │   ├── uvm_printer_defines.svh
│   │   │   │   │   ├── uvm_reg_defines.svh
│   │   │   │   │   ├── uvm_sequence_defines.svh
│   │   │   │   │   ├── uvm_tlm_defines.svh
│   │   │   │   │   ├── uvm_undefineall.svh
│   │   │   │   │   └── uvm_version_defines.svh
│   │   │   │   ├── overviews
│   │   │   │   │   ├── base.txt
│   │   │   │   │   ├── cmdlineproc.txt
│   │   │   │   │   ├── comparators.txt
│   │   │   │   │   ├── components.txt
│   │   │   │   │   ├── config_and_res.txt
│   │   │   │   │   ├── containers.txt
│   │   │   │   │   ├── factory.txt
│   │   │   │   │   ├── intro.txt
│   │   │   │   │   ├── legal.txt
│   │   │   │   │   ├── phasing.txt
│   │   │   │   │   ├── policies.txt
│   │   │   │   │   ├── registers.txt
│   │   │   │   │   ├── reporting.txt
│   │   │   │   │   ├── sequencers.txt
│   │   │   │   │   ├── sequences.txt
│   │   │   │   │   ├── synchro.txt
│   │   │   │   │   ├── test-phasing.txt
│   │   │   │   │   ├── tlm1.txt
│   │   │   │   │   ├── tlm2.txt
│   │   │   │   │   └── tlm_ifs_and_ports.txt
│   │   │   │   ├── reg
│   │   │   │   │   ├── sequences
│   │   │   │   │   │   ├── uvm_mem_access_seq.svh
│   │   │   │   │   │   ├── uvm_mem_walk_seq.svh
│   │   │   │   │   │   ├── uvm_reg_access_seq.svh
│   │   │   │   │   │   ├── uvm_reg_bit_bash_seq.svh
│   │   │   │   │   │   ├── uvm_reg_hw_reset_seq.svh
│   │   │   │   │   │   ├── uvm_reg_mem_built_in_seq.svh
│   │   │   │   │   │   ├── uvm_reg_mem_hdl_paths_seq.svh
│   │   │   │   │   │   └── uvm_reg_mem_shared_access_seq.svh
│   │   │   │   │   ├── uvm_mem.svh
│   │   │   │   │   ├── uvm_mem_mam.svh
│   │   │   │   │   ├── uvm_reg.svh
│   │   │   │   │   ├── uvm_reg_adapter.svh
│   │   │   │   │   ├── uvm_reg_backdoor.svh
│   │   │   │   │   ├── uvm_reg_block.svh
│   │   │   │   │   ├── uvm_reg_cbs.svh
│   │   │   │   │   ├── uvm_reg_field.svh
│   │   │   │   │   ├── uvm_reg_fifo.svh
│   │   │   │   │   ├── uvm_reg_file.svh
│   │   │   │   │   ├── uvm_reg_indirect.svh
│   │   │   │   │   ├── uvm_reg_item.svh
│   │   │   │   │   ├── uvm_reg_map.svh
│   │   │   │   │   ├── uvm_reg_model.svh
│   │   │   │   │   ├── uvm_reg_predictor.svh
│   │   │   │   │   ├── uvm_reg_sequence.svh
│   │   │   │   │   ├── uvm_vreg.svh
│   │   │   │   │   └── uvm_vreg_field.svh
│   │   │   │   ├── seq
│   │   │   │   │   ├── uvm_push_sequencer.svh
│   │   │   │   │   ├── uvm_seq.svh
│   │   │   │   │   ├── uvm_sequence.svh
│   │   │   │   │   ├── uvm_sequence_base.svh
│   │   │   │   │   ├── uvm_sequence_builtin.svh
│   │   │   │   │   ├── uvm_sequence_item.svh
│   │   │   │   │   ├── uvm_sequence_library.svh
│   │   │   │   │   ├── uvm_sequencer.svh
│   │   │   │   │   ├── uvm_sequencer_analysis_fifo.svh
│   │   │   │   │   ├── uvm_sequencer_base.svh
│   │   │   │   │   └── uvm_sequencer_param_base.svh
│   │   │   │   ├── tlm1
│   │   │   │   │   ├── uvm_analysis_port.svh
│   │   │   │   │   ├── uvm_exports.svh
│   │   │   │   │   ├── uvm_imps.svh
│   │   │   │   │   ├── uvm_ports.svh
│   │   │   │   │   ├── uvm_sqr_connections.svh
│   │   │   │   │   ├── uvm_sqr_ifs.svh
│   │   │   │   │   ├── uvm_tlm.svh
│   │   │   │   │   ├── uvm_tlm_fifo_base.svh
│   │   │   │   │   ├── uvm_tlm_fifos.svh
│   │   │   │   │   ├── uvm_tlm_ifs.svh
│   │   │   │   │   ├── uvm_tlm_imps.svh
│   │   │   │   │   └── uvm_tlm_req_rsp.svh
│   │   │   │   ├── tlm2
│   │   │   │   │   ├── uvm_tlm2.svh
│   │   │   │   │   ├── uvm_tlm2_defines.svh
│   │   │   │   │   ├── uvm_tlm2_exports.svh
│   │   │   │   │   ├── uvm_tlm2_generic_payload.svh
│   │   │   │   │   ├── uvm_tlm2_ifs.svh
│   │   │   │   │   ├── uvm_tlm2_imps.svh
│   │   │   │   │   ├── uvm_tlm2_ports.svh
│   │   │   │   │   ├── uvm_tlm2_sockets.svh
│   │   │   │   │   ├── uvm_tlm2_sockets_base.svh
│   │   │   │   │   └── uvm_tlm2_time.svh
│   │   │   │   ├── uvm.sv
│   │   │   │   ├── uvm_macros.svh
│   │   │   │   └── uvm_pkg.sv
│   │   │   └── styles
│   │   │       └── main.css
│   │   └── uvm_users_guide_1.1.pdf
│   ├── examples
│   │   ├── Makefile.ius
│   │   ├── Makefile.questa
│   │   ├── Makefile.vcs
│   │   ├── integrated
│   │   │   ├── README.txt
│   │   │   ├── apb
│   │   │   │   ├── apb.sv
│   │   │   │   ├── apb_agent.sv
│   │   │   │   ├── apb_config.sv
│   │   │   │   ├── apb_if.sv
│   │   │   │   ├── apb_master.sv
│   │   │   │   ├── apb_monitor.sv
│   │   │   │   ├── apb_rw.sv
│   │   │   │   └── apb_sequencer.sv
│   │   │   ├── codec
│   │   │   │   ├── Makefile.ius
│   │   │   │   ├── Makefile.questa
│   │   │   │   ├── Makefile.vcs
│   │   │   │   ├── README.txt
│   │   │   │   ├── apb2txrx.svh
│   │   │   │   ├── block_diagram.pdf
│   │   │   │   ├── dut.sv
│   │   │   │   ├── reg_model.svh
│   │   │   │   ├── sym_sb.svh
│   │   │   │   ├── tb_env.svh
│   │   │   │   ├── tb_top.sv
│   │   │   │   ├── test.sv
│   │   │   │   ├── testlib.svh
│   │   │   │   └── vip
│   │   │   │       ├── vip.sv
│   │   │   │       ├── vip_agent.svh
│   │   │   │       ├── vip_driver.svh
│   │   │   │       ├── vip_if.sv
│   │   │   │       ├── vip_monitor.svh
│   │   │   │       ├── vip_seqlib.svh
│   │   │   │       └── vip_tr.svh
│   │   │   └── ubus
│   │   │       ├── examples
│   │   │       │   ├── Makefile.ius
│   │   │       │   ├── Makefile.questa
│   │   │       │   ├── Makefile.vcs
│   │   │       │   ├── dut_dummy.v
│   │   │       │   ├── test_lib.sv
│   │   │       │   ├── ubus_example_master_seq_lib.sv
│   │   │       │   ├── ubus_example_scoreboard.sv
│   │   │       │   ├── ubus_example_tb.sv
│   │   │       │   ├── ubus_tb_top.sv
│   │   │       │   └── vsim.do
│   │   │       └── sv
│   │   │           ├── ubus_bus_monitor.sv
│   │   │           ├── ubus_env.sv
│   │   │           ├── ubus_if.sv
│   │   │           ├── ubus_master_agent.sv
│   │   │           ├── ubus_master_driver.sv
│   │   │           ├── ubus_master_monitor.sv
│   │   │           ├── ubus_master_seq_lib.sv
│   │   │           ├── ubus_master_sequencer.sv
│   │   │           ├── ubus_pkg.sv
│   │   │           ├── ubus_slave_agent.sv
│   │   │           ├── ubus_slave_driver.sv
│   │   │           ├── ubus_slave_monitor.sv
│   │   │           ├── ubus_slave_seq_lib.sv
│   │   │           ├── ubus_slave_sequencer.sv
│   │   │           ├── ubus_transfer.sv
│   │   │           └── ubus_version.svh
│   │   └── simple
│   │       ├── README.txt
│   │       ├── basic_examples
│   │       │   ├── event_pool
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   └── test.sv
│   │       │   ├── module
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   └── test.sv
│   │       │   └── pkg
│   │       │       ├── Makefile.ius
│   │       │       ├── Makefile.questa
│   │       │       ├── Makefile.vcs
│   │       │       └── test.sv
│   │       ├── callbacks
│   │       │   ├── Makefile.ius
│   │       │   ├── Makefile.questa
│   │       │   ├── Makefile.vcs
│   │       │   └── top.sv
│   │       ├── configuration
│   │       │   ├── automated
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   ├── classA.svh
│   │       │   │   ├── classB.svh
│   │       │   │   ├── classC.svh
│   │       │   │   ├── my_env_pkg.sv
│   │       │   │   └── top.sv
│   │       │   └── manual
│   │       │       ├── Makefile.ius
│   │       │       ├── Makefile.questa
│   │       │       ├── Makefile.vcs
│   │       │       ├── classA.svh
│   │       │       ├── classB.svh
│   │       │       ├── classC.svh
│   │       │       ├── my_env_pkg.sv
│   │       │       └── top.sv
│   │       ├── factory
│   │       │   ├── Makefile.ius
│   │       │   ├── Makefile.questa
│   │       │   ├── Makefile.vcs
│   │       │   ├── env_pkg.sv
│   │       │   ├── gen_pkg.sv
│   │       │   ├── packet_pkg.sv
│   │       │   └── test.sv
│   │       ├── hello_world
│   │       │   ├── Makefile.ius
│   │       │   ├── Makefile.questa
│   │       │   ├── Makefile.vcs
│   │       │   ├── consumer.sv
│   │       │   ├── hello_world.sv
│   │       │   ├── packet.sv
│   │       │   ├── producer.sv
│   │       │   └── top.sv
│   │       ├── interfaces
│   │       │   ├── Makefile.ius
│   │       │   ├── Makefile.questa
│   │       │   ├── Makefile.vcs
│   │       │   └── interface.sv
│   │       ├── objections
│   │       │   ├── Makefile.ius
│   │       │   ├── Makefile.questa
│   │       │   ├── Makefile.vcs
│   │       │   └── simple.sv
│   │       ├── phases
│   │       │   ├── basic
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   └── test.sv
│   │       │   ├── run_test
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   └── test.sv
│   │       │   └── timeout
│   │       │       ├── Makefile.ius
│   │       │       ├── Makefile.questa
│   │       │       ├── Makefile.vcs
│   │       │       ├── tb_env.svh
│   │       │       ├── tb_timer.svh
│   │       │       └── test.sv
│   │       ├── registers
│   │       │   ├── common
│   │       │   │   ├── any_agent.sv
│   │       │   │   ├── any_config.sv
│   │       │   │   ├── apb
│   │       │   │   │   ├── apb_agent.sv
│   │       │   │   │   ├── apb_master.sv
│   │       │   │   │   └── apb_monitor.sv
│   │       │   │   ├── reg_agent.sv
│   │       │   │   └── wishbone
│   │       │   │       ├── agent.sv
│   │       │   │       ├── config.sv
│   │       │   │       ├── cycle.sv
│   │       │   │       ├── driver.sv
│   │       │   │       ├── wb_if.sv
│   │       │   │       └── wishbone.sv
│   │       │   ├── integration
│   │       │   │   ├── 10direct
│   │       │   │   │   ├── Makefile.ius
│   │       │   │   │   ├── Makefile.questa
│   │       │   │   │   ├── Makefile.vcs
│   │       │   │   │   └── tb_env.sv
│   │       │   │   ├── 20layered
│   │       │   │   │   ├── Makefile.ius
│   │       │   │   │   ├── Makefile.questa
│   │       │   │   │   ├── Makefile.vcs
│   │       │   │   │   └── tb_env.sv
│   │       │   │   └── common
│   │       │   │       ├── dut.sv
│   │       │   │       ├── regmodel.sv
│   │       │   │       ├── tb_top.sv
│   │       │   │       └── test.sv
│   │       │   ├── models
│   │       │   │   ├── aliasing
│   │       │   │   │   ├── Makefile.ius
│   │       │   │   │   ├── Makefile.questa
│   │       │   │   │   ├── Makefile.vcs
│   │       │   │   │   ├── regmodel.sv
│   │       │   │   │   ├── tb_env.sv
│   │       │   │   │   └── tb_run.sv
│   │       │   │   ├── broadcast
│   │       │   │   │   ├── Makefile.ius
│   │       │   │   │   ├── Makefile.questa
│   │       │   │   │   ├── Makefile.vcs
│   │       │   │   │   ├── dut.sv
│   │       │   │   │   ├── regmodel.sv
│   │       │   │   │   ├── tb_env.sv
│   │       │   │   │   ├── tb_run.sv
│   │       │   │   │   └── tb_top.sv
│   │       │   │   ├── coverage
│   │       │   │   │   ├── Makefile.ius
│   │       │   │   │   ├── Makefile.questa
│   │       │   │   │   ├── Makefile.vcs
│   │       │   │   │   ├── regmodel.sv
│   │       │   │   │   ├── tb_env.sv
│   │       │   │   │   └── tb_run.sv
│   │       │   │   ├── fifo_reg
│   │       │   │   │   ├── Makefile.ius
│   │       │   │   │   ├── Makefile.questa
│   │       │   │   │   ├── Makefile.vcs
│   │       │   │   │   ├── dut.sv
│   │       │   │   │   ├── reg_model.sv
│   │       │   │   │   ├── tb_env.sv
│   │       │   │   │   └── tb_run.sv
│   │       │   │   ├── not_yet_implemented
│   │       │   │   │   ├── Makefile.ius
│   │       │   │   │   ├── Makefile.questa
│   │       │   │   │   ├── Makefile.vcs
│   │       │   │   │   ├── regmodel.sv
│   │       │   │   │   ├── tb_env.sv
│   │       │   │   │   └── tb_run.sv
│   │       │   │   ├── reg_without_field
│   │       │   │   │   ├── Makefile.ius
│   │       │   │   │   ├── Makefile.questa
│   │       │   │   │   ├── Makefile.vcs
│   │       │   │   │   ├── regmodel.sv
│   │       │   │   │   ├── tb_env.sv
│   │       │   │   │   └── tb_run.sv
│   │       │   │   ├── ro_wo_same_addr
│   │       │   │   │   ├── Makefile.ius
│   │       │   │   │   ├── Makefile.questa
│   │       │   │   │   ├── Makefile.vcs
│   │       │   │   │   ├── regmodel.sv
│   │       │   │   │   ├── tb_env.sv
│   │       │   │   │   └── tb_run.sv
│   │       │   │   ├── shared_reg
│   │       │   │   │   ├── Makefile.ius
│   │       │   │   │   ├── Makefile.questa
│   │       │   │   │   ├── Makefile.vcs
│   │       │   │   │   ├── blk_env.sv
│   │       │   │   │   ├── blk_pkg.sv
│   │       │   │   │   ├── blk_run.sv
│   │       │   │   │   ├── blk_seqlib.sv
│   │       │   │   │   ├── blk_testlib.sv
│   │       │   │   │   ├── reg_B.sv
│   │       │   │   │   └── reg_pkg.sv
│   │       │   │   └── user-defined
│   │       │   │       ├── Makefile.ius
│   │       │   │       ├── Makefile.questa
│   │       │   │       ├── Makefile.vcs
│   │       │   │       ├── dut.sv
│   │       │   │       ├── regmodel.sv
│   │       │   │       ├── tb_env.sv
│   │       │   │       └── tb_run.sv
│   │       │   ├── primer
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   ├── cmdline_test.sv
│   │       │   │   ├── dut.sv
│   │       │   │   ├── primer.pdf
│   │       │   │   ├── reg_model.sv
│   │       │   │   ├── tb_env.sv
│   │       │   │   ├── tb_top.sv
│   │       │   │   ├── test.sv
│   │       │   │   ├── testlib.sv
│   │       │   │   └── user_test.sv
│   │       │   ├── sequence_api
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   ├── README.txt
│   │       │   │   ├── blk_dut.sv
│   │       │   │   ├── blk_env.sv
│   │       │   │   ├── blk_pkg.sv
│   │       │   │   ├── blk_reg_pkg.sv
│   │       │   │   ├── blk_run.sv
│   │       │   │   ├── blk_seqlib.sv
│   │       │   │   ├── blk_testlib.sv
│   │       │   │   ├── blk_top.sv
│   │       │   │   └── reg_B.sv
│   │       │   └── vertical_reuse
│   │       │       ├── Makefile.ius
│   │       │       ├── Makefile.questa
│   │       │       ├── Makefile.vcs
│   │       │       ├── blk_dut.sv
│   │       │       ├── blk_env.sv
│   │       │       ├── blk_pkg.sv
│   │       │       ├── blk_reg_pkg.sv
│   │       │       ├── blk_run.sv
│   │       │       ├── blk_seqlib.sv
│   │       │       ├── blk_testlib.sv
│   │       │       ├── blk_top.sv
│   │       │       ├── reg_B.sv
│   │       │       ├── reg_S.sv
│   │       │       ├── sys_dut.sv
│   │       │       ├── sys_env.sv
│   │       │       ├── sys_pkg.sv
│   │       │       ├── sys_reg_pkg.sv
│   │       │       ├── sys_run.sv
│   │       │       ├── sys_seqlib.sv
│   │       │       ├── sys_testlib.sv
│   │       │       └── sys_top.sv
│   │       ├── sequence
│   │       │   └── basic_read_write_sequence
│   │       │       ├── Makefile.ius
│   │       │       ├── Makefile.questa
│   │       │       ├── Makefile.vcs
│   │       │       └── top.sv
│   │       ├── tlm1
│   │       │   ├── bidir
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   └── bidir.sv
│   │       │   ├── fifo
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   └── test.sv
│   │       │   ├── hierarchy
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   └── hierarchy.sv
│   │       │   └── producer_consumer
│   │       │       ├── Makefile.ius
│   │       │       ├── Makefile.questa
│   │       │       ├── Makefile.vcs
│   │       │       └── fifo.sv
│   │       ├── tlm2
│   │       │   ├── blocking_simple
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   ├── apb_rw.sv
│   │       │   │   ├── initiator.sv
│   │       │   │   ├── target.sv
│   │       │   │   ├── tb_env.sv
│   │       │   │   └── tb_run.sv
│   │       │   ├── nonblocking_simple
│   │       │   │   ├── Makefile.ius
│   │       │   │   ├── Makefile.questa
│   │       │   │   ├── Makefile.vcs
│   │       │   │   ├── README.txt
│   │       │   │   ├── device.sv
│   │       │   │   ├── host.sv
│   │       │   │   ├── tb_env.sv
│   │       │   │   ├── tb_run.sv
│   │       │   │   └── usb_xfer.sv
│   │       │   └── temporal_decoupling
│   │       │       ├── Makefile.ius
│   │       │       ├── Makefile.questa
│   │       │       ├── Makefile.vcs
│   │       │       ├── apb_rw.sv
│   │       │       ├── initiator.sv
│   │       │       ├── target.sv
│   │       │       ├── tb_env.sv
│   │       │       └── tb_run.sv
│   │       └── trivial
│   │           ├── Makefile.ius
│   │           ├── Makefile.questa
│   │           ├── Makefile.vcs
│   │           └── component.sv
│   ├── release-notes.txt
│   └── src
│       ├── base
│       │   ├── uvm_barrier.svh
│       │   ├── uvm_base.svh
│       │   ├── uvm_bottomup_phase.svh
│       │   ├── uvm_callback.svh
│       │   ├── uvm_cmdline_processor.svh
│       │   ├── uvm_common_phases.svh
│       │   ├── uvm_comparer.svh
│       │   ├── uvm_component.svh
│       │   ├── uvm_config_db.svh
│       │   ├── uvm_domain.svh
│       │   ├── uvm_event.svh
│       │   ├── uvm_event_callback.svh
│       │   ├── uvm_factory.svh
│       │   ├── uvm_globals.svh
│       │   ├── uvm_heartbeat.svh
│       │   ├── uvm_misc.svh
│       │   ├── uvm_object.svh
│       │   ├── uvm_object_globals.svh
│       │   ├── uvm_objection.svh
│       │   ├── uvm_packer.svh
│       │   ├── uvm_phase.svh
│       │   ├── uvm_pool.svh
│       │   ├── uvm_port_base.svh
│       │   ├── uvm_printer.svh
│       │   ├── uvm_queue.svh
│       │   ├── uvm_recorder.svh
│       │   ├── uvm_registry.svh
│       │   ├── uvm_report_catcher.svh
│       │   ├── uvm_report_handler.svh
│       │   ├── uvm_report_object.svh
│       │   ├── uvm_report_server.svh
│       │   ├── uvm_resource.svh
│       │   ├── uvm_resource_db.svh
│       │   ├── uvm_resource_specializations.svh
│       │   ├── uvm_root.svh
│       │   ├── uvm_runtime_phases.svh
│       │   ├── uvm_spell_chkr.svh
│       │   ├── uvm_task_phase.svh
│       │   ├── uvm_topdown_phase.svh
│       │   ├── uvm_transaction.svh
│       │   └── uvm_version.svh
│       ├── comps
│       │   ├── uvm_agent.svh
│       │   ├── uvm_algorithmic_comparator.svh
│       │   ├── uvm_comps.svh
│       │   ├── uvm_driver.svh
│       │   ├── uvm_env.svh
│       │   ├── uvm_in_order_comparator.svh
│       │   ├── uvm_monitor.svh
│       │   ├── uvm_pair.svh
│       │   ├── uvm_policies.svh
│       │   ├── uvm_push_driver.svh
│       │   ├── uvm_random_stimulus.svh
│       │   ├── uvm_scoreboard.svh
│       │   ├── uvm_subscriber.svh
│       │   └── uvm_test.svh
│       ├── deprecated
│       │   ├── readme.important
│       │   └── uvm_resource_converter.svh
│       ├── dpi
│       │   ├── uvm_dpi.cc
│       │   ├── uvm_dpi.svh
│       │   ├── uvm_hdl.c
│       │   ├── uvm_hdl.svh
│       │   ├── uvm_regex.cc
│       │   ├── uvm_regex.svh
│       │   ├── uvm_svcmd_dpi.c
│       │   └── uvm_svcmd_dpi.svh
│       ├── macros
│       │   ├── uvm_callback_defines.svh
│       │   ├── uvm_deprecated_defines.svh
│       │   ├── uvm_message_defines.svh
│       │   ├── uvm_object_defines.svh
│       │   ├── uvm_phase_defines.svh
│       │   ├── uvm_printer_defines.svh
│       │   ├── uvm_reg_defines.svh
│       │   ├── uvm_sequence_defines.svh
│       │   ├── uvm_tlm_defines.svh
│       │   ├── uvm_undefineall.svh
│       │   └── uvm_version_defines.svh
│       ├── reg
│       │   ├── sequences
│       │   │   ├── uvm_mem_access_seq.svh
│       │   │   ├── uvm_mem_walk_seq.svh
│       │   │   ├── uvm_reg_access_seq.svh
│       │   │   ├── uvm_reg_bit_bash_seq.svh
│       │   │   ├── uvm_reg_hw_reset_seq.svh
│       │   │   ├── uvm_reg_mem_built_in_seq.svh
│       │   │   ├── uvm_reg_mem_hdl_paths_seq.svh
│       │   │   └── uvm_reg_mem_shared_access_seq.svh
│       │   ├── uvm_mem.svh
│       │   ├── uvm_mem_mam.svh
│       │   ├── uvm_reg.svh
│       │   ├── uvm_reg_adapter.svh
│       │   ├── uvm_reg_backdoor.svh
│       │   ├── uvm_reg_block.svh
│       │   ├── uvm_reg_cbs.svh
│       │   ├── uvm_reg_field.svh
│       │   ├── uvm_reg_fifo.svh
│       │   ├── uvm_reg_file.svh
│       │   ├── uvm_reg_indirect.svh
│       │   ├── uvm_reg_item.svh
│       │   ├── uvm_reg_map.svh
│       │   ├── uvm_reg_model.svh
│       │   ├── uvm_reg_predictor.svh
│       │   ├── uvm_reg_sequence.svh
│       │   ├── uvm_vreg.svh
│       │   └── uvm_vreg_field.svh
│       ├── seq
│       │   ├── uvm_push_sequencer.svh
│       │   ├── uvm_seq.svh
│       │   ├── uvm_sequence.svh
│       │   ├── uvm_sequence_base.svh
│       │   ├── uvm_sequence_builtin.svh
│       │   ├── uvm_sequence_item.svh
│       │   ├── uvm_sequence_library.svh
│       │   ├── uvm_sequencer.svh
│       │   ├── uvm_sequencer_analysis_fifo.svh
│       │   ├── uvm_sequencer_base.svh
│       │   └── uvm_sequencer_param_base.svh
│       ├── tlm1
│       │   ├── uvm_analysis_port.svh
│       │   ├── uvm_exports.svh
│       │   ├── uvm_imps.svh
│       │   ├── uvm_ports.svh
│       │   ├── uvm_sqr_connections.svh
│       │   ├── uvm_sqr_ifs.svh
│       │   ├── uvm_tlm.svh
│       │   ├── uvm_tlm_fifo_base.svh
│       │   ├── uvm_tlm_fifos.svh
│       │   ├── uvm_tlm_ifs.svh
│       │   ├── uvm_tlm_imps.svh
│       │   └── uvm_tlm_req_rsp.svh
│       ├── tlm2
│       │   ├── uvm_tlm2.svh
│       │   ├── uvm_tlm2_defines.svh
│       │   ├── uvm_tlm2_exports.svh
│       │   ├── uvm_tlm2_generic_payload.svh
│       │   ├── uvm_tlm2_ifs.svh
│       │   ├── uvm_tlm2_imps.svh
│       │   ├── uvm_tlm2_ports.svh
│       │   ├── uvm_tlm2_sockets.svh
│       │   ├── uvm_tlm2_sockets_base.svh
│       │   └── uvm_tlm2_time.svh
│       ├── uvm.sv
│       ├── uvm_macros.svh
│       └── uvm_pkg.sv
└── 好例子网_uvm-1.1d.tar.gz

101 directories, 888 files


标签: 1.1 UVM VM

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警