在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 《MATLAB及其在FPGA中的应用》光盘资料

《MATLAB及其在FPGA中的应用》光盘资料

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:6.62M
  • 下载次数:1
  • 浏览次数:35
  • 发布时间:2023-07-06
  • 实例类别:一般编程问题
  • 发 布 人:js2021
  • 文件格式:.rar
  • 所需积分:2
 

实例介绍

【实例简介】
《MATLAB及其在FPGA中的应用》光盘资料
【实例截图】
【核心代码】
文件清单
├── cht02
│   ├── cht0200.m
│   ├── cht02.asv
│   ├── cht02.m
│   ├── cor.tbl
│   └── 图2-12 reshape函数在人工神经元网络中的应用.m
├── cht04
│   ├── bin27seg
│   │   ├── bin27seg.asm.rpt
│   │   ├── bin27seg.done
│   │   ├── bin27seg.fit.rpt
│   │   ├── bin27seg.fit.smsg
│   │   ├── bin27seg.fit.summary
│   │   ├── bin27seg.flow.rpt
│   │   ├── bin27seg.map.rpt
│   │   ├── bin27seg.map.summary
│   │   ├── bin27seg.merge.rpt
│   │   ├── bin27seg.pin
│   │   ├── bin27seg.pof
│   │   ├── bin27seg.qpf
│   │   ├── bin27seg.qsf
│   │   ├── bin27seg.qws
│   │   ├── bin27seg.sim.rpt
│   │   ├── bin27seg.sof
│   │   ├── bin27seg.tan.rpt
│   │   ├── bin27seg.tan.summary
│   │   ├── bin27seg.vhd
│   │   ├── bin27seg_vhd_vec_tst.vhd
│   │   ├── bin27seg.vwf
│   │   └── db
│   │       ├── bin27seg.(0).cnf.cdb
│   │       ├── bin27seg.(0).cnf.hdb
│   │       ├── bin27seg.asm.qmsg
│   │       ├── bin27seg.cbx.xml
│   │       ├── bin27seg.cmp0.ddb
│   │       ├── bin27seg.cmp_bb.cdb
│   │       ├── bin27seg.cmp_bb.hdb
│   │       ├── bin27seg.cmp_bb.logdb
│   │       ├── bin27seg.cmp_bb.rcf
│   │       ├── bin27seg.cmp.bpm
│   │       ├── bin27seg.cmp.cdb
│   │       ├── bin27seg.cmp.ecobp
│   │       ├── bin27seg.cmp.hdb
│   │       ├── bin27seg.cmp.logdb
│   │       ├── bin27seg.cmp.rdb
│   │       ├── bin27seg.cmp.tdb
│   │       ├── bin27seg.db_info
│   │       ├── bin27seg.dbp
│   │       ├── bin27seg.eco.cdb
│   │       ├── bin27seg.eds_overflow
│   │       ├── bin27seg.fit.qmsg
│   │       ├── bin27seg.hier_info
│   │       ├── bin27seg.hif
│   │       ├── bin27seg.map_bb.cdb
│   │       ├── bin27seg.map_bb.hdb
│   │       ├── bin27seg.map_bb.logdb
│   │       ├── bin27seg.map.bpm
│   │       ├── bin27seg.map.cdb
│   │       ├── bin27seg.map.ecobp
│   │       ├── bin27seg.map.hdb
│   │       ├── bin27seg.map.logdb
│   │       ├── bin27seg.map.qmsg
│   │       ├── bin27seg.merge.qmsg
│   │       ├── bin27seg.pre_map.cdb
│   │       ├── bin27seg.pre_map.hdb
│   │       ├── bin27seg.psp
│   │       ├── bin27seg.pss
│   │       ├── bin27seg.rtlv.hdb
│   │       ├── bin27seg.rtlv_sg.cdb
│   │       ├── bin27seg.rtlv_sg_swap.cdb
│   │       ├── bin27seg.sgdiff.cdb
│   │       ├── bin27seg.sgdiff.hdb
│   │       ├── bin27seg.signalprobe.cdb
│   │       ├── bin27seg.sim.cvwf
│   │       ├── bin27seg.sim.hdb
│   │       ├── bin27seg.sim.qmsg
│   │       ├── bin27seg.sim.rdb
│   │       ├── bin27seg.sld_design_entry_dsc.sci
│   │       ├── bin27seg.sld_design_entry.sci
│   │       ├── bin27seg.syn_hier_info
│   │       ├── bin27seg.tan.qmsg
│   │       └── wed.wsf
│   ├── bin2bcd
│   │   ├── bin2bcd.asm.rpt
│   │   ├── bin2bcd.done
│   │   ├── bin2bcd.fit.rpt
│   │   ├── bin2bcd.fit.smsg
│   │   ├── bin2bcd.fit.summary
│   │   ├── bin2bcd.flow.rpt
│   │   ├── bin2bcd.map.rpt
│   │   ├── bin2bcd.map.summary
│   │   ├── bin2bcd.merge.rpt
│   │   ├── bin2bcd.pin
│   │   ├── bin2bcd.pof
│   │   ├── bin2bcd.qpf
│   │   ├── bin2bcd.qsf
│   │   ├── bin2bcd.qws
│   │   ├── bin2bcd.sim.rpt
│   │   ├── bin2bcd.sof
│   │   ├── bin2bcd.tan.rpt
│   │   ├── bin2bcd.tan.summary
│   │   ├── bin2bcd.vhd
│   │   ├── bin2bcd.vht
│   │   ├── bin2bcd.vwf
│   │   └── db
│   │       ├── bin2bcd.(0).cnf.cdb
│   │       ├── bin2bcd.(0).cnf.hdb
│   │       ├── bin2bcd.asm.qmsg
│   │       ├── bin2bcd.cbx.xml
│   │       ├── bin2bcd.cmp0.ddb
│   │       ├── bin2bcd.cmp_bb.cdb
│   │       ├── bin2bcd.cmp_bb.hdb
│   │       ├── bin2bcd.cmp_bb.logdb
│   │       ├── bin2bcd.cmp_bb.rcf
│   │       ├── bin2bcd.cmp.bpm
│   │       ├── bin2bcd.cmp.cdb
│   │       ├── bin2bcd.cmp.ecobp
│   │       ├── bin2bcd.cmp.hdb
│   │       ├── bin2bcd.cmp.logdb
│   │       ├── bin2bcd.cmp.rdb
│   │       ├── bin2bcd.cmp.tdb
│   │       ├── bin2bcd.db_info
│   │       ├── bin2bcd.dbp
│   │       ├── bin2bcd.eco.cdb
│   │       ├── bin2bcd.eds_overflow
│   │       ├── bin2bcd.fit.qmsg
│   │       ├── bin2bcd.hier_info
│   │       ├── bin2bcd.hif
│   │       ├── bin2bcd.map_bb.cdb
│   │       ├── bin2bcd.map_bb.hdb
│   │       ├── bin2bcd.map_bb.logdb
│   │       ├── bin2bcd.map.bpm
│   │       ├── bin2bcd.map.cdb
│   │       ├── bin2bcd.map.ecobp
│   │       ├── bin2bcd.map.hdb
│   │       ├── bin2bcd.map.logdb
│   │       ├── bin2bcd.map.qmsg
│   │       ├── bin2bcd.merge.qmsg
│   │       ├── bin2bcd.pre_map.cdb
│   │       ├── bin2bcd.pre_map.hdb
│   │       ├── bin2bcd.psp
│   │       ├── bin2bcd.pss
│   │       ├── bin2bcd.rtlv.hdb
│   │       ├── bin2bcd.rtlv_sg.cdb
│   │       ├── bin2bcd.rtlv_sg_swap.cdb
│   │       ├── bin2bcd.sgdiff.cdb
│   │       ├── bin2bcd.sgdiff.hdb
│   │       ├── bin2bcd.signalprobe.cdb
│   │       ├── bin2bcd.sim.cvwf
│   │       ├── bin2bcd.sim.hdb
│   │       ├── bin2bcd.sim.qmsg
│   │       ├── bin2bcd.sim.rdb
│   │       ├── bin2bcd.sld_design_entry_dsc.sci
│   │       ├── bin2bcd.sld_design_entry.sci
│   │       ├── bin2bcd.syn_hier_info
│   │       ├── bin2bcd.tan.qmsg
│   │       └── wed.wsf
│   ├── comp.vhd
│   ├── dataflow2.vhd
│   ├── eg2_rtl
│   │   ├── db
│   │   │   ├── eg2.(0).cnf.cdb
│   │   │   ├── eg2.(0).cnf.hdb
│   │   │   ├── eg2.asm.qmsg
│   │   │   ├── eg2.cbx.xml
│   │   │   ├── eg2.cmp0.ddb
│   │   │   ├── eg2.cmp_bb.cdb
│   │   │   ├── eg2.cmp_bb.hdb
│   │   │   ├── eg2.cmp_bb.logdb
│   │   │   ├── eg2.cmp_bb.rcf
│   │   │   ├── eg2.cmp.bpm
│   │   │   ├── eg2.cmp.cdb
│   │   │   ├── eg2.cmp.ecobp
│   │   │   ├── eg2.cmp.hdb
│   │   │   ├── eg2.cmp.logdb
│   │   │   ├── eg2.cmp.rdb
│   │   │   ├── eg2.cmp.tdb
│   │   │   ├── eg2.db_info
│   │   │   ├── eg2.dbp
│   │   │   ├── eg2.eco.cdb
│   │   │   ├── eg2.eds_overflow
│   │   │   ├── eg2.fit.qmsg
│   │   │   ├── eg2.hier_info
│   │   │   ├── eg2.hif
│   │   │   ├── eg2.map_bb.cdb
│   │   │   ├── eg2.map_bb.hdb
│   │   │   ├── eg2.map_bb.logdb
│   │   │   ├── eg2.map.bpm
│   │   │   ├── eg2.map.cdb
│   │   │   ├── eg2.map.ecobp
│   │   │   ├── eg2.map.hdb
│   │   │   ├── eg2.map.logdb
│   │   │   ├── eg2.map.qmsg
│   │   │   ├── eg2.merge.qmsg
│   │   │   ├── eg2.pre_map.cdb
│   │   │   ├── eg2.pre_map.hdb
│   │   │   ├── eg2.psp
│   │   │   ├── eg2.pss
│   │   │   ├── eg2.rtlv.hdb
│   │   │   ├── eg2.rtlv_sg.cdb
│   │   │   ├── eg2.rtlv_sg_swap.cdb
│   │   │   ├── eg2.sgdiff.cdb
│   │   │   ├── eg2.sgdiff.hdb
│   │   │   ├── eg2.signalprobe.cdb
│   │   │   ├── eg2.sim.cvwf
│   │   │   ├── eg2.sim.hdb
│   │   │   ├── eg2.sim.qmsg
│   │   │   ├── eg2.sim.rdb
│   │   │   ├── eg2.sld_design_entry_dsc.sci
│   │   │   ├── eg2.sld_design_entry.sci
│   │   │   ├── eg2.syn_hier_info
│   │   │   ├── eg2.tan.qmsg
│   │   │   └── wed.wsf
│   │   ├── eg2.asm.rpt
│   │   ├── eg2.done
│   │   ├── eg2.fit.rpt
│   │   ├── eg2.fit.smsg
│   │   ├── eg2.fit.summary
│   │   ├── eg2.flow.rpt
│   │   ├── eg2.map.rpt
│   │   ├── eg2.map.summary
│   │   ├── eg2.merge.rpt
│   │   ├── eg2.pin
│   │   ├── eg2.pof
│   │   ├── eg2.qpf
│   │   ├── eg2.qsf
│   │   ├── eg2.qws
│   │   ├── eg2.sim.rpt
│   │   ├── eg2.sof
│   │   ├── eg2.tan.rpt
│   │   ├── eg2.vhd
│   │   └── eg2.vwf
│   ├── eg2_rtl1
│   │   ├── db
│   │   │   ├── eg2.(0).cnf.cdb
│   │   │   ├── eg2.(0).cnf.hdb
│   │   │   ├── eg2.asm.qmsg
│   │   │   ├── eg2.cbx.xml
│   │   │   ├── eg2.cmp0.ddb
│   │   │   ├── eg2.cmp_bb.cdb
│   │   │   ├── eg2.cmp_bb.hdb
│   │   │   ├── eg2.cmp_bb.logdb
│   │   │   ├── eg2.cmp_bb.rcf
│   │   │   ├── eg2.cmp.bpm
│   │   │   ├── eg2.cmp.cdb
│   │   │   ├── eg2.cmp.ecobp
│   │   │   ├── eg2.cmp.hdb
│   │   │   ├── eg2.cmp.logdb
│   │   │   ├── eg2.cmp.rdb
│   │   │   ├── eg2.cmp.tdb
│   │   │   ├── eg2.db_info
│   │   │   ├── eg2.dbp
│   │   │   ├── eg2.eco.cdb
│   │   │   ├── eg2.eds_overflow
│   │   │   ├── eg2.fit.qmsg
│   │   │   ├── eg2.hier_info
│   │   │   ├── eg2.hif
│   │   │   ├── eg2.map_bb.cdb
│   │   │   ├── eg2.map_bb.hdb
│   │   │   ├── eg2.map_bb.logdb
│   │   │   ├── eg2.map.bpm
│   │   │   ├── eg2.map.cdb
│   │   │   ├── eg2.map.ecobp
│   │   │   ├── eg2.map.hdb
│   │   │   ├── eg2.map.logdb
│   │   │   ├── eg2.map.qmsg
│   │   │   ├── eg2.merge.qmsg
│   │   │   ├── eg2.pre_map.cdb
│   │   │   ├── eg2.pre_map.hdb
│   │   │   ├── eg2.psp
│   │   │   ├── eg2.pss
│   │   │   ├── eg2.rtlv.hdb
│   │   │   ├── eg2.rtlv_sg.cdb
│   │   │   ├── eg2.rtlv_sg_swap.cdb
│   │   │   ├── eg2.sgdiff.cdb
│   │   │   ├── eg2.sgdiff.hdb
│   │   │   ├── eg2.signalprobe.cdb
│   │   │   ├── eg2.sim.cvwf
│   │   │   ├── eg2.sim.hdb
│   │   │   ├── eg2.sim.qmsg
│   │   │   ├── eg2.sim.rdb
│   │   │   ├── eg2.sld_design_entry_dsc.sci
│   │   │   ├── eg2.sld_design_entry.sci
│   │   │   ├── eg2.syn_hier_info
│   │   │   ├── eg2.tan.qmsg
│   │   │   └── wed.wsf
│   │   ├── eg2.asm.rpt
│   │   ├── eg2.done
│   │   ├── eg2.fit.rpt
│   │   ├── eg2.fit.smsg
│   │   ├── eg2.fit.summary
│   │   ├── eg2.flow.rpt
│   │   ├── eg2.map.rpt
│   │   ├── eg2.map.summary
│   │   ├── eg2.merge.rpt
│   │   ├── eg2.pin
│   │   ├── eg2.pof
│   │   ├── eg2.qpf
│   │   ├── eg2.qsf
│   │   ├── eg2.qws
│   │   ├── eg2.sim.rpt
│   │   ├── eg2.sof
│   │   ├── eg2.tan.rpt
│   │   ├── eg2.tan.summary
│   │   ├── eg2.vhd
│   │   └── eg2.vwf
│   ├── filt_cmp.vhd
│   ├── s04p10top
│   │   ├── db
│   │   │   ├── top.(0).cnf.cdb
│   │   │   ├── top.(0).cnf.hdb
│   │   │   ├── top.(1).cnf.cdb
│   │   │   ├── top.(1).cnf.hdb
│   │   │   ├── top.asm.qmsg
│   │   │   ├── top.cbx.xml
│   │   │   ├── top.cmp0.ddb
│   │   │   ├── top.cmp_bb.cdb
│   │   │   ├── top.cmp_bb.hdb
│   │   │   ├── top.cmp_bb.logdb
│   │   │   ├── top.cmp_bb.rcf
│   │   │   ├── top.cmp.bpm
│   │   │   ├── top.cmp.cdb
│   │   │   ├── top.cmp.ecobp
│   │   │   ├── top.cmp.hdb
│   │   │   ├── top.cmp.logdb
│   │   │   ├── top.cmp.rdb
│   │   │   ├── top.cmp.tdb
│   │   │   ├── top.db_info
│   │   │   ├── top.dbp
│   │   │   ├── top.eco.cdb
│   │   │   ├── top.eds_overflow
│   │   │   ├── top.fit.qmsg
│   │   │   ├── top.hier_info
│   │   │   ├── top.hif
│   │   │   ├── top.map_bb.cdb
│   │   │   ├── top.map_bb.hdb
│   │   │   ├── top.map_bb.logdb
│   │   │   ├── top.map.bpm
│   │   │   ├── top.map.cdb
│   │   │   ├── top.map.ecobp
│   │   │   ├── top.map.hdb
│   │   │   ├── top.map.logdb
│   │   │   ├── top.map.qmsg
│   │   │   ├── top.merge.qmsg
│   │   │   ├── top.pre_map.cdb
│   │   │   ├── top.pre_map.hdb
│   │   │   ├── top.psp
│   │   │   ├── top.pss
│   │   │   ├── top.rtlv.hdb
│   │   │   ├── top.rtlv_sg.cdb
│   │   │   ├── top.rtlv_sg_swap.cdb
│   │   │   ├── top.sgdiff.cdb
│   │   │   ├── top.sgdiff.hdb
│   │   │   ├── top.signalprobe.cdb
│   │   │   ├── top.sim.cvwf
│   │   │   ├── top.sim.hdb
│   │   │   ├── top.sim.qmsg
│   │   │   ├── top.sim.rdb
│   │   │   ├── top.sld_design_entry_dsc.sci
│   │   │   ├── top.sld_design_entry.sci
│   │   │   ├── top.syn_hier_info
│   │   │   ├── top.tan.qmsg
│   │   │   └── wed.wsf
│   │   ├── logic.vhd
│   │   ├── top.asm.rpt
│   │   ├── top.done
│   │   ├── top.fit.rpt
│   │   ├── top.fit.smsg
│   │   ├── top.fit.summary
│   │   ├── top.flow.rpt
│   │   ├── top.map.rpt
│   │   ├── top.map.summary
│   │   ├── top.merge.rpt
│   │   ├── top.pin
│   │   ├── top.pof
│   │   ├── top.qpf
│   │   ├── top.qsf
│   │   ├── top.qws
│   │   ├── top.sim.rpt
│   │   ├── top.sof
│   │   ├── top.tan.rpt
│   │   ├── top.tan.summary
│   │   ├── top.vhd
│   │   └── top.vwf
│   ├── s04p11tst_mult
│   │   ├── db
│   │   │   ├── mult_p2o.tdf
│   │   │   ├── tst_mult.(0).cnf.cdb
│   │   │   ├── tst_mult.(0).cnf.hdb
│   │   │   ├── tst_mult.(1).cnf.cdb
│   │   │   ├── tst_mult.(1).cnf.hdb
│   │   │   ├── tst_mult.(2).cnf.cdb
│   │   │   ├── tst_mult.(2).cnf.hdb
│   │   │   ├── tst_mult.asm.qmsg
│   │   │   ├── tst_mult.cbx.xml
│   │   │   ├── tst_mult.cmp0.ddb
│   │   │   ├── tst_mult.cmp_bb.cdb
│   │   │   ├── tst_mult.cmp_bb.hdb
│   │   │   ├── tst_mult.cmp_bb.logdb
│   │   │   ├── tst_mult.cmp_bb.rcf
│   │   │   ├── tst_mult.cmp.bpm
│   │   │   ├── tst_mult.cmp.cdb
│   │   │   ├── tst_mult.cmp.ecobp
│   │   │   ├── tst_mult.cmp.hdb
│   │   │   ├── tst_mult.cmp.logdb
│   │   │   ├── tst_mult.cmp.rdb
│   │   │   ├── tst_mult.cmp.tdb
│   │   │   ├── tst_mult.db_info
│   │   │   ├── tst_mult.dbp
│   │   │   ├── tst_mult.eco.cdb
│   │   │   ├── tst_mult.eds_overflow
│   │   │   ├── tst_mult.fit.qmsg
│   │   │   ├── tst_mult.hier_info
│   │   │   ├── tst_mult.hif
│   │   │   ├── tst_mult.map_bb.cdb
│   │   │   ├── tst_mult.map_bb.hdb
│   │   │   ├── tst_mult.map_bb.logdb
│   │   │   ├── tst_mult.map.bpm
│   │   │   ├── tst_mult.map.cdb
│   │   │   ├── tst_mult.map.ecobp
│   │   │   ├── tst_mult.map.hdb
│   │   │   ├── tst_mult.map.logdb
│   │   │   ├── tst_mult.map.qmsg
│   │   │   ├── tst_mult.merge.qmsg
│   │   │   ├── tst_mult.pre_map.cdb
│   │   │   ├── tst_mult.pre_map.hdb
│   │   │   ├── tst_mult.psp
│   │   │   ├── tst_mult.pss
│   │   │   ├── tst_mult.rtlv.hdb
│   │   │   ├── tst_mult.rtlv_sg.cdb
│   │   │   ├── tst_mult.rtlv_sg_swap.cdb
│   │   │   ├── tst_mult.sgdiff.cdb
│   │   │   ├── tst_mult.sgdiff.hdb
│   │   │   ├── tst_mult.signalprobe.cdb
│   │   │   ├── tst_mult.sim.cvwf
│   │   │   ├── tst_mult.sim.hdb
│   │   │   ├── tst_mult.sim.qmsg
│   │   │   ├── tst_mult.sim.rdb
│   │   │   ├── tst_mult.sld_design_entry_dsc.sci
│   │   │   ├── tst_mult.sld_design_entry.sci
│   │   │   ├── tst_mult.syn_hier_info
│   │   │   ├── tst_mult.tan.qmsg
│   │   │   └── wed.wsf
│   │   ├── tst_mult.asm.rpt
│   │   ├── tst_mult.done
│   │   ├── tst_mult.fit.rpt
│   │   ├── tst_mult.fit.smsg
│   │   ├── tst_mult.fit.summary
│   │   ├── tst_mult.flow.rpt
│   │   ├── tst_mult.map.rpt
│   │   ├── tst_mult.map.summary
│   │   ├── tst_mult.merge.rpt
│   │   ├── tst_mult.pin
│   │   ├── tst_mult.pof
│   │   ├── tst_mult.qpf
│   │   ├── tst_mult.qsf
│   │   ├── tst_mult.qws
│   │   ├── tst_mult.sim.rpt
│   │   ├── tst_mult.sof
│   │   ├── tst_mult.tan.rpt
│   │   ├── tst_mult.tan.summary
│   │   ├── tst_mult.vhd
│   │   └── tst_mult.vwf
│   ├── start_rtl1_prj
│   │   ├── db
│   │   │   ├── start_rtl1.(0).cnf.cdb
│   │   │   ├── start_rtl1.(0).cnf.hdb
│   │   │   ├── start_rtl1.asm.qmsg
│   │   │   ├── start_rtl1.cbx.xml
│   │   │   ├── start_rtl1.cmp0.ddb
│   │   │   ├── start_rtl1.cmp_bb.cdb
│   │   │   ├── start_rtl1.cmp_bb.hdb
│   │   │   ├── start_rtl1.cmp_bb.logdb
│   │   │   ├── start_rtl1.cmp_bb.rcf
│   │   │   ├── start_rtl1.cmp.bpm
│   │   │   ├── start_rtl1.cmp.cdb
│   │   │   ├── start_rtl1.cmp.ecobp
│   │   │   ├── start_rtl1.cmp.hdb
│   │   │   ├── start_rtl1.cmp.logdb
│   │   │   ├── start_rtl1.cmp.rdb
│   │   │   ├── start_rtl1.cmp.tdb
│   │   │   ├── start_rtl1.db_info
│   │   │   ├── start_rtl1.dbp
│   │   │   ├── start_rtl1.eco.cdb
│   │   │   ├── start_rtl1.eds_overflow
│   │   │   ├── start_rtl1.fit.qmsg
│   │   │   ├── start_rtl1.hier_info
│   │   │   ├── start_rtl1.hif
│   │   │   ├── start_rtl1.map_bb.cdb
│   │   │   ├── start_rtl1.map_bb.hdb
│   │   │   ├── start_rtl1.map_bb.logdb
│   │   │   ├── start_rtl1.map.bpm
│   │   │   ├── start_rtl1.map.cdb
│   │   │   ├── start_rtl1.map.ecobp
│   │   │   ├── start_rtl1.map.hdb
│   │   │   ├── start_rtl1.map.logdb
│   │   │   ├── start_rtl1.map.qmsg
│   │   │   ├── start_rtl1.merge.qmsg
│   │   │   ├── start_rtl1.pre_map.cdb
│   │   │   ├── start_rtl1.pre_map.hdb
│   │   │   ├── start_rtl1.psp
│   │   │   ├── start_rtl1.pss
│   │   │   ├── start_rtl1.rtlv.hdb
│   │   │   ├── start_rtl1.rtlv_sg.cdb
│   │   │   ├── start_rtl1.rtlv_sg_swap.cdb
│   │   │   ├── start_rtl1.sgdiff.cdb
│   │   │   ├── start_rtl1.sgdiff.hdb
│   │   │   ├── start_rtl1.signalprobe.cdb
│   │   │   ├── start_rtl1.sim.cvwf
│   │   │   ├── start_rtl1.sim.hdb
│   │   │   ├── start_rtl1.sim.qmsg
│   │   │   ├── start_rtl1.sim.rdb
│   │   │   ├── start_rtl1.sld_design_entry_dsc.sci
│   │   │   ├── start_rtl1.sld_design_entry.sci
│   │   │   ├── start_rtl1.syn_hier_info
│   │   │   ├── start_rtl1.tan.qmsg
│   │   │   └── wed.wsf
│   │   ├── start_rtl1.asm.rpt
│   │   ├── start_rtl1.done
│   │   ├── start_rtl1.fit.rpt
│   │   ├── start_rtl1.fit.smsg
│   │   ├── start_rtl1.fit.summary
│   │   ├── start_rtl1.flow.rpt
│   │   ├── start_rtl1.map.rpt
│   │   ├── start_rtl1.map.summary
│   │   ├── start_rtl1.merge.rpt
│   │   ├── start_rtl1.pin
│   │   ├── start_rtl1.pof
│   │   ├── start_rtl1.qpf
│   │   ├── start_rtl1.qsf
│   │   ├── start_rtl1.qws
│   │   ├── start_rtl1.sim.rpt
│   │   ├── start_rtl1.sof
│   │   ├── start_rtl1.tan.rpt
│   │   ├── start_rtl1.tan.summary
│   │   ├── start_rtl1.vhd
│   │   └── start_rtl1.vwf
│   ├── start_rtl_prj
│   │   ├── db
│   │   │   ├── start_rtl.(0).cnf.cdb
│   │   │   ├── start_rtl.(0).cnf.hdb
│   │   │   ├── start_rtl.asm.qmsg
│   │   │   ├── start_rtl.cbx.xml
│   │   │   ├── start_rtl.cmp0.ddb
│   │   │   ├── start_rtl.cmp_bb.cdb
│   │   │   ├── start_rtl.cmp_bb.hdb
│   │   │   ├── start_rtl.cmp_bb.logdb
│   │   │   ├── start_rtl.cmp_bb.rcf
│   │   │   ├── start_rtl.cmp.bpm
│   │   │   ├── start_rtl.cmp.cdb
│   │   │   ├── start_rtl.cmp.ecobp
│   │   │   ├── start_rtl.cmp.hdb
│   │   │   ├── start_rtl.cmp.logdb
│   │   │   ├── start_rtl.cmp.rdb
│   │   │   ├── start_rtl.cmp.tdb
│   │   │   ├── start_rtl.db_info
│   │   │   ├── start_rtl.dbp
│   │   │   ├── start_rtl.eco.cdb
│   │   │   ├── start_rtl.eds_overflow
│   │   │   ├── start_rtl.fit.qmsg
│   │   │   ├── start_rtl.hier_info
│   │   │   ├── start_rtl.hif
│   │   │   ├── start_rtl.map_bb.cdb
│   │   │   ├── start_rtl.map_bb.hdb
│   │   │   ├── start_rtl.map_bb.logdb
│   │   │   ├── start_rtl.map.bpm
│   │   │   ├── start_rtl.map.cdb
│   │   │   ├── start_rtl.map.ecobp
│   │   │   ├── start_rtl.map.hdb
│   │   │   ├── start_rtl.map.logdb
│   │   │   ├── start_rtl.map.qmsg
│   │   │   ├── start_rtl.merge.qmsg
│   │   │   ├── start_rtl.pre_map.cdb
│   │   │   ├── start_rtl.pre_map.hdb
│   │   │   ├── start_rtl.psp
│   │   │   ├── start_rtl.pss
│   │   │   ├── start_rtl.rtlv.hdb
│   │   │   ├── start_rtl.rtlv_sg.cdb
│   │   │   ├── start_rtl.rtlv_sg_swap.cdb
│   │   │   ├── start_rtl.sgdiff.cdb
│   │   │   ├── start_rtl.sgdiff.hdb
│   │   │   ├── start_rtl.signalprobe.cdb
│   │   │   ├── start_rtl.sim.cvwf
│   │   │   ├── start_rtl.sim.hdb
│   │   │   ├── start_rtl.sim.qmsg
│   │   │   ├── start_rtl.sim.rdb
│   │   │   ├── start_rtl.sld_design_entry_dsc.sci
│   │   │   ├── start_rtl.sld_design_entry.sci
│   │   │   ├── start_rtl.syn_hier_info
│   │   │   ├── start_rtl.tan.qmsg
│   │   │   └── wed.wsf
│   │   ├── start_rtl.asm.rpt
│   │   ├── start_rtl.done
│   │   ├── start_rtl.fit.rpt
│   │   ├── start_rtl.fit.smsg
│   │   ├── start_rtl.fit.summary
│   │   ├── start_rtl.flow.rpt
│   │   ├── start_rtl.map.rpt
│   │   ├── start_rtl.map.summary
│   │   ├── start_rtl.merge.rpt
│   │   ├── start_rtl.pin
│   │   ├── start_rtl.pof
│   │   ├── start_rtl.qpf
│   │   ├── start_rtl.qsf
│   │   ├── start_rtl.qws
│   │   ├── start_rtl.sim.rpt
│   │   ├── start_rtl.sof
│   │   ├── start_rtl.tan.rpt
│   │   ├── start_rtl.tan.summary
│   │   ├── start_rtl.vhd
│   │   └── start_rtl.vwf
│   ├── state_m2
│   │   ├── db
│   │   │   ├── state_m2.(0).cnf.cdb
│   │   │   ├── state_m2.(0).cnf.hdb
│   │   │   ├── state_m2.asm.qmsg
│   │   │   ├── state_m2.cbx.xml
│   │   │   ├── state_m2.cmp0.ddb
│   │   │   ├── state_m2.cmp_bb.cdb
│   │   │   ├── state_m2.cmp_bb.hdb
│   │   │   ├── state_m2.cmp_bb.logdb
│   │   │   ├── state_m2.cmp_bb.rcf
│   │   │   ├── state_m2.cmp.bpm
│   │   │   ├── state_m2.cmp.cdb
│   │   │   ├── state_m2.cmp.ecobp
│   │   │   ├── state_m2.cmp.hdb
│   │   │   ├── state_m2.cmp.logdb
│   │   │   ├── state_m2.cmp.rdb
│   │   │   ├── state_m2.cmp.tdb
│   │   │   ├── state_m2.db_info
│   │   │   ├── state_m2.dbp
│   │   │   ├── state_m2.eco.cdb
│   │   │   ├── state_m2.eds_overflow
│   │   │   ├── state_m2.fit.qmsg
│   │   │   ├── state_m2.hier_info
│   │   │   ├── state_m2.hif
│   │   │   ├── state_m2.map_bb.cdb
│   │   │   ├── state_m2.map_bb.hdb
│   │   │   ├── state_m2.map_bb.logdb
│   │   │   ├── state_m2.map.bpm
│   │   │   ├── state_m2.map.cdb
│   │   │   ├── state_m2.map.ecobp
│   │   │   ├── state_m2.map.hdb
│   │   │   ├── state_m2.map.logdb
│   │   │   ├── state_m2.map.qmsg
│   │   │   ├── state_m2.merge.qmsg
│   │   │   ├── state_m2.pre_map.cdb
│   │   │   ├── state_m2.pre_map.hdb
│   │   │   ├── state_m2.psp
│   │   │   ├── state_m2.pss
│   │   │   ├── state_m2.rtlv.hdb
│   │   │   ├── state_m2.rtlv_sg.cdb
│   │   │   ├── state_m2.rtlv_sg_swap.cdb
│   │   │   ├── state_m2.sgdiff.cdb
│   │   │   ├── state_m2.sgdiff.hdb
│   │   │   ├── state_m2.signalprobe.cdb
│   │   │   ├── state_m2.sim.cvwf
│   │   │   ├── state_m2.sim.hdb
│   │   │   ├── state_m2.sim.qmsg
│   │   │   ├── state_m2.sim.rdb
│   │   │   ├── state_m2.sld_design_entry_dsc.sci
│   │   │   ├── state_m2.sld_design_entry.sci
│   │   │   ├── state_m2.smp_dump.txt
│   │   │   ├── state_m2.syn_hier_info
│   │   │   ├── state_m2.tan.qmsg
│   │   │   └── wed.wsf
│   │   ├── state_m2.asm.rpt
│   │   ├── state_m2.done
│   │   ├── state_m2.fit.rpt
│   │   ├── state_m2.fit.smsg
│   │   ├── state_m2.fit.summary
│   │   ├── state_m2.flow.rpt
│   │   ├── state_m2.map.rpt
│   │   ├── state_m2.map.summary
│   │   ├── state_m2.merge.rpt
│   │   ├── state_m2.pin
│   │   ├── state_m2.pof
│   │   ├── state_m2.qpf
│   │   ├── state_m2.qsf
│   │   ├── state_m2.qws
│   │   ├── state_m2.sim.rpt
│   │   ├── state_m2.sof
│   │   ├── state_m2.tan.rpt
│   │   ├── state_m2.tan.summary
│   │   ├── state_m2.vhd
│   │   └── state_m2.vwf
│   ├── state_s3
│   │   ├── currentstate.jpg
│   │   ├── db
│   │   │   ├── state_s3.(0).cnf.cdb
│   │   │   ├── state_s3.(0).cnf.hdb
│   │   │   ├── state_s3.asm.qmsg
│   │   │   ├── state_s3.cbx.xml
│   │   │   ├── state_s3.cmp0.ddb
│   │   │   ├── state_s3.cmp_bb.cdb
│   │   │   ├── state_s3.cmp_bb.hdb
│   │   │   ├── state_s3.cmp_bb.logdb
│   │   │   ├── state_s3.cmp_bb.rcf
│   │   │   ├── state_s3.cmp.bpm
│   │   │   ├── state_s3.cmp.cdb
│   │   │   ├── state_s3.cmp.ecobp
│   │   │   ├── state_s3.cmp.hdb
│   │   │   ├── state_s3.cmp.logdb
│   │   │   ├── state_s3.cmp.rdb
│   │   │   ├── state_s3.cmp.tdb
│   │   │   ├── state_s3.db_info
│   │   │   ├── state_s3.dbp
│   │   │   ├── state_s3.eco.cdb
│   │   │   ├── state_s3.eds_overflow
│   │   │   ├── state_s3.fit.qmsg
│   │   │   ├── state_s3.hier_info
│   │   │   ├── state_s3.hif
│   │   │   ├── state_s3.map_bb.cdb
│   │   │   ├── state_s3.map_bb.hdb
│   │   │   ├── state_s3.map_bb.logdb
│   │   │   ├── state_s3.map.bpm
│   │   │   ├── state_s3.map.cdb
│   │   │   ├── state_s3.map.ecobp
│   │   │   ├── state_s3.map.hdb
│   │   │   ├── state_s3.map.logdb
│   │   │   ├── state_s3.map.qmsg
│   │   │   ├── state_s3.merge.qmsg
│   │   │   ├── state_s3.pre_map.cdb
│   │   │   ├── state_s3.pre_map.hdb
│   │   │   ├── state_s3.psp
│   │   │   ├── state_s3.pss
│   │   │   ├── state_s3.rpp.qmsg
│   │   │   ├── state_s3.rtlv.hdb
│   │   │   ├── state_s3.rtlv_sg.cdb
│   │   │   ├── state_s3.rtlv_sg_swap.cdb
│   │   │   ├── state_s3.sgate.rvd
│   │   │   ├── state_s3.sgate_sm.rvd
│   │   │   ├── state_s3.sgdiff.cdb
│   │   │   ├── state_s3.sgdiff.hdb
│   │   │   ├── state_s3.signalprobe.cdb
│   │   │   ├── state_s3.sim.cvwf
│   │   │   ├── state_s3.sim.hdb
│   │   │   ├── state_s3.sim.qmsg
│   │   │   ├── state_s3.sim.rdb
│   │   │   ├── state_s3.sld_design_entry_dsc.sci
│   │   │   ├── state_s3.sld_design_entry.sci
│   │   │   ├── state_s3.smp_dump.txt
│   │   │   ├── state_s3.syn_hier_info
│   │   │   ├── state_s3.tan.qmsg
│   │   │   └── wed.wsf
│   │   ├── state_s3.asm.rpt
│   │   ├── state_s3.done
│   │   ├── state_s3.fit.rpt
│   │   ├── state_s3.fit.smsg
│   │   ├── state_s3.fit.summary
│   │   ├── state_s3.flow.rpt
│   │   ├── state_s3.jpg
│   │   ├── state_s3.map.rpt
│   │   ├── state_s3.map.summary
│   │   ├── state_s3.merge.rpt
│   │   ├── state_s3.pin
│   │   ├── state_s3.pof
│   │   ├── state_s3.qpf
│   │   ├── state_s3.qsf
│   │   ├── state_s3.qws
│   │   ├── state_s3.sim.rpt
│   │   ├── state_s3.sof
│   │   ├── state_s3.tan.rpt
│   │   ├── state_s3.tan.summary
│   │   ├── state_s3.vhd
│   │   └── state_s3.vwf
│   ├── test1.vhd
│   ├── test2.vhd
│   ├── t_procedure
│   │   ├── db
│   │   │   ├── t_procedure.(0).cnf.cdb
│   │   │   ├── t_procedure.(0).cnf.hdb
│   │   │   ├── t_procedure.asm.qmsg
│   │   │   ├── t_procedure.cbx.xml
│   │   │   ├── t_procedure.cmp0.ddb
│   │   │   ├── t_procedure.cmp_bb.cdb
│   │   │   ├── t_procedure.cmp_bb.hdb
│   │   │   ├── t_procedure.cmp_bb.logdb
│   │   │   ├── t_procedure.cmp_bb.rcf
│   │   │   ├── t_procedure.cmp.bpm
│   │   │   ├── t_procedure.cmp.cdb
│   │   │   ├── t_procedure.cmp.ecobp
│   │   │   ├── t_procedure.cmp.hdb
│   │   │   ├── t_procedure.cmp.logdb
│   │   │   ├── t_procedure.cmp.rdb
│   │   │   ├── t_procedure.cmp.tdb
│   │   │   ├── t_procedure.db_info
│   │   │   ├── t_procedure.dbp
│   │   │   ├── t_procedure.eco.cdb
│   │   │   ├── t_procedure.eds_overflow
│   │   │   ├── t_procedure.fit.qmsg
│   │   │   ├── t_procedure.hier_info
│   │   │   ├── t_procedure.hif
│   │   │   ├── t_procedure.map_bb.cdb
│   │   │   ├── t_procedure.map_bb.hdb
│   │   │   ├── t_procedure.map_bb.logdb
│   │   │   ├── t_procedure.map.bpm
│   │   │   ├── t_procedure.map.cdb
│   │   │   ├── t_procedure.map.ecobp
│   │   │   ├── t_procedure.map.hdb
│   │   │   ├── t_procedure.map.logdb
│   │   │   ├── t_procedure.map.qmsg
│   │   │   ├── t_procedure.merge.qmsg
│   │   │   ├── t_procedure.pre_map.cdb
│   │   │   ├── t_procedure.pre_map.hdb
│   │   │   ├── t_procedure.psp
│   │   │   ├── t_procedure.pss
│   │   │   ├── t_procedure.rtlv.hdb
│   │   │   ├── t_procedure.rtlv_sg.cdb
│   │   │   ├── t_procedure.rtlv_sg_swap.cdb
│   │   │   ├── t_procedure.sgdiff.cdb
│   │   │   ├── t_procedure.sgdiff.hdb
│   │   │   ├── t_procedure.signalprobe.cdb
│   │   │   ├── t_procedure.sim.cvwf
│   │   │   ├── t_procedure.sim.hdb
│   │   │   ├── t_procedure.sim.qmsg
│   │   │   ├── t_procedure.sim.rdb
│   │   │   ├── t_procedure.sld_design_entry_dsc.sci
│   │   │   ├── t_procedure.sld_design_entry.sci
│   │   │   ├── t_procedure.syn_hier_info
│   │   │   ├── t_procedure.tan.qmsg
│   │   │   └── wed.wsf
│   │   ├── t_procedure.asm.rpt
│   │   ├── t_procedure.done
│   │   ├── t_procedure.fit.rpt
│   │   ├── t_procedure.fit.smsg
│   │   ├── t_procedure.fit.summary
│   │   ├── t_procedure.flow.rpt
│   │   ├── t_procedure.map.rpt
│   │   ├── t_procedure.map.summary
│   │   ├── t_procedure.merge.rpt
│   │   ├── t_procedure.pin
│   │   ├── t_procedure.pof
│   │   ├── t_procedure.qpf
│   │   ├── t_procedure.qsf
│   │   ├── t_procedure.qws
│   │   ├── t_procedure.sim.rpt
│   │   ├── t_procedure.sof
│   │   ├── t_procedure.tan.rpt
│   │   ├── t_procedure.tan.summary
│   │   ├── t_procedure.vhd
│   │   └── t_procedure.vwf
│   └── t_procedure.vhd
├── cht05
│   └── s05p04p01
│       ├── model
│       │   ├── db
│       │   ├── generator.vhd
│       │   ├── generator.vht
│       │   ├── modelsim.ini
│       │   ├── read_wxd.txt
│       │   ├── vlog.opt
│       │   ├── vsim2sin.wlf
│       │   ├── vsim.wlf
│       │   ├── wave1.do
│       │   └── work
│       │       ├── generator
│       │       │   ├── generator_arch.asm
│       │       │   ├── generator_arch.dat
│       │       │   └── _primary.dat
│       │       ├── generator_acc6
│       │       │   ├── acc_arch.asm
│       │       │   ├── acc_arch.dat
│       │       │   └── _primary.dat
│       │       ├── generator_adder
│       │       │   ├── add_angen_arch.asm
│       │       │   ├── add_angen_arch.dat
│       │       │   └── _primary.dat
│       │       ├── generator_and2
│       │       │   ├── and_angen_arch.asm
│       │       │   ├── and_angen_arch.dat
│       │       │   └── _primary.dat
│       │       ├── generator_mux
│       │       │   ├── mux_arch.asm
│       │       │   ├── mux_arch.dat
│       │       │   └── _primary.dat
│       │       ├── generator_reg6
│       │       │   ├── _primary.dat
│       │       │   ├── reg_arch6.asm
│       │       │   └── reg_arch6.dat
│       │       ├── generator_reg8
│       │       │   ├── _primary.dat
│       │       │   ├── reg_arch8.asm
│       │       │   └── reg_arch8.dat
│       │       ├── generator_sin
│       │       │   ├── _primary.dat
│       │       │   ├── sin_arch.asm
│       │       │   └── sin_arch.dat
│       │       ├── generator_vhd_vec_tst
│       │       │   ├── generator_arch.asm
│       │       │   ├── generator_arch.dat
│       │       │   └── _primary.dat
│       │       └── _info
│       ├── qut
│       │   ├── db
│       │   │   ├── generator.(0).cnf.cdb
│       │   │   ├── generator.(0).cnf.hdb
│       │   │   ├── generator.(1).cnf.cdb
│       │   │   ├── generator.(1).cnf.hdb
│       │   │   ├── generator.(2).cnf.cdb
│       │   │   ├── generator.(2).cnf.hdb
│       │   │   ├── generator.(3).cnf.cdb
│       │   │   ├── generator.(3).cnf.hdb
│       │   │   ├── generator.(4).cnf.cdb
│       │   │   ├── generator.(4).cnf.hdb
│       │   │   ├── generator.(5).cnf.cdb
│       │   │   ├── generator.(5).cnf.hdb
│       │   │   ├── generator.(6).cnf.cdb
│       │   │   ├── generator.(6).cnf.hdb
│       │   │   ├── generator.asm.qmsg
│       │   │   ├── generator.cbx.xml
│       │   │   ├── generator.cmp0.ddb
│       │   │   ├── generator.cmp_bb.cdb
│       │   │   ├── generator.cmp_bb.hdb
│       │   │   ├── generator.cmp_bb.logdb
│       │   │   ├── generator.cmp_bb.rcf
│       │   │   ├── generator.cmp.bpm
│       │   │   ├── generator.cmp.cdb
│       │   │   ├── generator.cmp.ecobp
│       │   │   ├── generator.cmp.hdb
│       │   │   ├── generator.cmp.logdb
│       │   │   ├── generator.cmp.rdb
│       │   │   ├── generator.cmp.tdb
│       │   │   ├── generator.db_info
│       │   │   ├── generator.dbp
│       │   │   ├── generator.eco.cdb
│       │   │   ├── generator.eds_overflow
│       │   │   ├── generator.fit.qmsg
│       │   │   ├── generator.hier_info
│       │   │   ├── generator.hif
│       │   │   ├── generator.map_bb.cdb
│       │   │   ├── generator.map_bb.hdb
│       │   │   ├── generator.map_bb.logdb
│       │   │   ├── generator.map.bpm
│       │   │   ├── generator.map.cdb
│       │   │   ├── generator.map.ecobp
│       │   │   ├── generator.map.hdb
│       │   │   ├── generator.map.logdb
│       │   │   ├── generator.map.qmsg
│       │   │   ├── generator.merge.qmsg
│       │   │   ├── generator.pre_map.cdb
│       │   │   ├── generator.pre_map.hdb
│       │   │   ├── generator.psp
│       │   │   ├── generator.pss
│       │   │   ├── generator.rtlv.hdb
│       │   │   ├── generator.rtlv_sg.cdb
│       │   │   ├── generator.rtlv_sg_swap.cdb
│       │   │   ├── generator.sgdiff.cdb
│       │   │   ├── generator.sgdiff.hdb
│       │   │   ├── generator.signalprobe.cdb
│       │   │   ├── generator.sim.cvwf
│       │   │   ├── generator.sim.hdb
│       │   │   ├── generator.sim_ori.vwf
│       │   │   ├── generator.sim.qmsg
│       │   │   ├── generator.sim.rdb
│       │   │   ├── generator.sld_design_entry_dsc.sci
│       │   │   ├── generator.sld_design_entry.sci
│       │   │   ├── generator.syn_hier_info
│       │   │   ├── generator.tan.qmsg
│       │   │   └── wed.wsf
│       │   ├── generator_acc6.vhd
│       │   ├── generator_adder.vhd
│       │   ├── generator_and2.vhd
│       │   ├── generator.asm.rpt
│       │   ├── generator.done
│       │   ├── generator.fit.rpt
│       │   ├── generator.fit.smsg
│       │   ├── generator.fit.summary
│       │   ├── generator.flow.rpt
│       │   ├── generator.map.rpt
│       │   ├── generator.map.summary
│       │   ├── generator.merge.rpt
│       │   ├── generator_mux.vhd
│       │   ├── generator.pin
│       │   ├── generator.pof
│       │   ├── generator.qpf
│       │   ├── generator.qsf
│       │   ├── generator.qws
│       │   ├── generator_reg6.vhd
│       │   ├── generator_reg8.vhd
│       │   ├── generator.sim.rpt
│       │   ├── generator_sin.vhd
│       │   ├── generator.sof
│       │   ├── generator.tan.rpt
│       │   ├── generator.tan.summary
│       │   ├── generator.vhd
│       │   ├── generator.vwf
│       │   └── read_wxd.txt
│       └── sypl
│           ├── flatsch.sxr
│           ├── generator_acc6.vhd
│           ├── generator_adder.vhd
│           ├── generator_and2.vhd
│           ├── generator_cons.tcl
│           ├── generator.fse
│           ├── generator_mux.vhd
│           ├── generator_reg6.vhd
│           ├── generator_reg8.vhd
│           ├── generator_rm.tcl
│           ├── generator_sin.vhd
│           ├── generator.srd
│           ├── generator.srm
│           ├── generator.srr
│           ├── generator.srs
│           ├── generator.sxr
│           ├── generator.tcl
│           ├── generator.tlg
│           ├── generator.vhd
│           ├── generator.vqm
│           ├── generator.xrf
│           ├── read_wxd.txt
│           ├── syntax.log
│           └── syntmp
│               ├── generator.plg
│               ├── hdlinfo.log
│               └── hdlorder.tcl
├── cht06
│   ├── exp1
│   │   ├── matlab
│   │   │   ├── butfly.m
│   │   │   ├── mat_fft.m
│   │   │   ├── mif_gen.m
│   │   │   ├── simfft.m
│   │   │   ├── top.m
│   │   │   ├── wn_gen.m
│   │   │   └── wnk.mif
│   │   ├── read_wxd.txt
│   │   └── source&core
│   │       ├── absthet_core.vhd
│   │       ├── absthet_core.xco
│   │       ├── contract2.vhd
│   │       ├── core_add16clk.vhd
│   │       ├── core_add16clk.xco
│   │       ├── core_add8clk.vhd
│   │       ├── core_add8clk.xco
│   │       ├── core_mul8clk.vhd
│   │       ├── core_mul8clk.xco
│   │       ├── core_sub16clk.vhd
│   │       ├── core_sub16clk.xco
│   │       ├── core_sub8clk.vhd
│   │       ├── core_sub8clk.xco
│   │       ├── indat_div2.vhd
│   │       ├── top_sh3.sch
│   │       ├── top_sh3_timesim.vhd
│   │       └── top_sh4.sch
│   ├── exp2
│   │   ├── matlab
│   │   │   ├── 55.bmp
│   │   │   ├── 55.jpg
│   │   │   ├── 66.bmp
│   │   │   ├── coef_gen.m
│   │   │   ├── filter.tbl
│   │   │   ├── filter.vec
│   │   │   ├── indata_plot.m
│   │   │   ├── mat_filt_plot.fig
│   │   │   ├── mat_filt_plot.m
│   │   │   ├── qut_filt_plot.fig
│   │   │   ├── qut_filt_plot.m
│   │   │   ├── sim_vecfile_gen.m
│   │   │   └── Thumbs.db
│   │   ├── Quartus
│   │   │   ├── accumulator.inc
│   │   │   ├── accumulator.tdf
│   │   │   ├── altsyncram.inc
│   │   │   ├── cmp_state.ini
│   │   │   ├── db
│   │   │   │   ├── add_sub_jqd.tdf
│   │   │   │   ├── altsyncram_pb21.tdf
│   │   │   │   ├── altsyncram_v331.tdf
│   │   │   │   ├── filter.(0).cnf.cdb
│   │   │   │   ├── filter.(0).cnf.hdb
│   │   │   │   ├── filter.(10).cnf.cdb
│   │   │   │   ├── filter.(10).cnf.hdb
│   │   │   │   ├── filter.(11).cnf.cdb
│   │   │   │   ├── filter.(11).cnf.hdb
│   │   │   │   ├── filter.(12).cnf.cdb
│   │   │   │   ├── filter.(12).cnf.hdb
│   │   │   │   ├── filter.(1).cnf.cdb
│   │   │   │   ├── filter.(1).cnf.hdb
│   │   │   │   ├── filter.(2).cnf.cdb
│   │   │   │   ├── filter.(2).cnf.hdb
│   │   │   │   ├── filter.(3).cnf.cdb
│   │   │   │   ├── filter.(3).cnf.hdb
│   │   │   │   ├── filter.(4).cnf.cdb
│   │   │   │   ├── filter.(4).cnf.hdb
│   │   │   │   ├── filter.(5).cnf.cdb
│   │   │   │   ├── filter.(5).cnf.hdb
│   │   │   │   ├── filter.(6).cnf.cdb
│   │   │   │   ├── filter.(6).cnf.hdb
│   │   │   │   ├── filter.(7).cnf.cdb
│   │   │   │   ├── filter.(7).cnf.hdb
│   │   │   │   ├── filter.(8).cnf.cdb
│   │   │   │   ├── filter.(8).cnf.hdb
│   │   │   │   ├── filter.(9).cnf.cdb
│   │   │   │   ├── filter.(9).cnf.hdb
│   │   │   │   ├── filter.asm.qmsg
│   │   │   │   ├── filter.cbx.xml
│   │   │   │   ├── filter.cmp0.ddb
│   │   │   │   ├── filter.cmp.cdb
│   │   │   │   ├── filter.cmp.hdb
│   │   │   │   ├── filter.cmp.logdb
│   │   │   │   ├── filter.cmp.rdb
│   │   │   │   ├── filter.cmp.tdb
│   │   │   │   ├── filter.db_info
│   │   │   │   ├── filter.dbp
│   │   │   │   ├── filter.eco.cdb
│   │   │   │   ├── filter.eds_overflow
│   │   │   │   ├── filter.fit.qmsg
│   │   │   │   ├── filter.hier_info
│   │   │   │   ├── filter.hif
│   │   │   │   ├── filter.map.cdb
│   │   │   │   ├── filter.map.hdb
│   │   │   │   ├── filter.map.logdb
│   │   │   │   ├── filter.map.qmsg
│   │   │   │   ├── filter.pre_map.cdb
│   │   │   │   ├── filter.pre_map.hdb
│   │   │   │   ├── filter.psp
│   │   │   │   ├── filter.pss
│   │   │   │   ├── filter.rtlv.hdb
│   │   │   │   ├── filter.rtlv_sg.cdb
│   │   │   │   ├── filter.rtlv_sg_swap.cdb
│   │   │   │   ├── filter.sgdiff.cdb
│   │   │   │   ├── filter.sgdiff.hdb
│   │   │   │   ├── filter.signalprobe.cdb
│   │   │   │   ├── filter.sim.cvwf
│   │   │   │   ├── filter.sim.hdb
│   │   │   │   ├── filter.sim.qmsg
│   │   │   │   ├── filter.sim.rdb
│   │   │   │   ├── filter.sld_design_entry_dsc.sci
│   │   │   │   ├── filter.sld_design_entry.sci
│   │   │   │   ├── filter.syn_hier_info
│   │   │   │   ├── filter.tan.qmsg
│   │   │   │   ├── mux_8fb.tdf
│   │   │   │   └── wed.wsf
│   │   │   ├── filter_10.pof
│   │   │   ├── filter_11.pof
│   │   │   ├── filter_12.pof
│   │   │   ├── filter_13.pof
│   │   │   ├── filter_14.pof
│   │   │   ├── filter_1.pof
│   │   │   ├── filter_2.pof
│   │   │   ├── filter_3.pof
│   │   │   ├── filter_4.pof
│   │   │   ├── filter_5.pof
│   │   │   ├── filter_6.pof
│   │   │   ├── filter_7.pof
│   │   │   ├── filter_8.pof
│   │   │   ├── filter_9.pof
│   │   │   ├── filter.asm.rpt
│   │   │   ├── filter_assignment_defaults.qdf
│   │   │   ├── filter_coef.cmp
│   │   │   ├── filter_coef.inc
│   │   │   ├── filter_coef.tdf
│   │   │   ├── filter_con.inc
│   │   │   ├── filter_con.tdf
│   │   │   ├── filter.csf.rpt
│   │   │   ├── filter.done
│   │   │   ├── filter.eco
│   │   │   ├── filter.eqn
│   │   │   ├── filter.fit.eqn
│   │   │   ├── filter.fit.rpt
│   │   │   ├── filter.fit.smsg
│   │   │   ├── filter.fit.summary
│   │   │   ├── filter.flow.rpt
│   │   │   ├── filter.map.eqn
│   │   │   ├── filter.map.rpt
│   │   │   ├── filter.map.summary
│   │   │   ├── filter.pin
│   │   │   ├── filter.pof
│   │   │   ├── filter.qpf
│   │   │   ├── filter.qsf
│   │   │   ├── filter.qws
│   │   │   ├── filter_shift.inc
│   │   │   ├── filter_shift.tdf
│   │   │   ├── filter.sim.rpt
│   │   │   ├── filter.sof
│   │   │   ├── filter.ssf.rpt
│   │   │   ├── filter.tan.rpt
│   │   │   ├── filter.tan.summary
│   │   │   ├── filter.tbl
│   │   │   ├── filter.tdf
│   │   │   ├── filter.vec
│   │   │   ├── filter.vwf
│   │   │   ├── p_s.inc
│   │   │   ├── p_s.tdf
│   │   │   ├── rom.mif
│   │   │   ├── serv_req_info.txt
│   │   │   ├── s_term.inc
│   │   │   ├── s_term.tdf
│   │   │   ├── undo_redo.txt
│   │   │   └── wrom.mif
│   │   └── read_wxd.txt
│   └── exp3
│       ├── matlab
│       │   ├── qutout.m
│       │   ├── radar_hilbert_Qut_asdiv2.fig
│       │   ├── radar_hilbert_Qut.fig
│       │   ├── Radar_Hilbert 的 QuartusII 仿真结果.fig
│       │   ├── rader_hilbert.tbl
│       │   ├── rader_hilbert.txt
│       │   ├── rader_hilbert.vec
│       │   ├── rh_matsim1.m
│       │   ├── rh_matsim2.m
│       │   ├── vec_gen.m
│       │   └── 正交变换4采样输出(0-0).fig
│       ├── quartus
│       │   ├── adddiv2.BSF
│       │   ├── adddiv2.vhd
│       │   ├── ad_end8.vwf
│       │   ├── ad_end_defact.qsf
│       │   ├── bake
│       │   │   └── sw1_4.vhd
│       │   ├── cmp_state.ini
│       │   ├── contract.qsf
│       │   ├── contrIQ.bdf
│       │   ├── contrIQ.bsf
│       │   ├── db
│       │   │   ├── add_sub_eie.tdf
│       │   │   ├── add_sub_fje.tdf
│       │   │   ├── add_sub_g1h.tdf
│       │   │   ├── mult_oam.tdf
│       │   │   ├── mult_u6q.tdf
│       │   │   ├── mult_v7u.tdf
│       │   │   ├── rader_hilbert(0).cnf.cdb
│       │   │   ├── rader_hilbert.(0).cnf.cdb
│       │   │   ├── rader_hilbert(0).cnf.hdb
│       │   │   ├── rader_hilbert.(0).cnf.hdb
│       │   │   ├── rader_hilbert(10).cnf.cdb
│       │   │   ├── rader_hilbert.(10).cnf.cdb
│       │   │   ├── rader_hilbert(10).cnf.hdb
│       │   │   ├── rader_hilbert.(10).cnf.hdb
│       │   │   ├── rader_hilbert(11).cnf.cdb
│       │   │   ├── rader_hilbert.(11).cnf.cdb
│       │   │   ├── rader_hilbert(11).cnf.hdb
│       │   │   ├── rader_hilbert.(11).cnf.hdb
│       │   │   ├── rader_hilbert(12).cnf.cdb
│       │   │   ├── rader_hilbert.(12).cnf.cdb
│       │   │   ├── rader_hilbert(12).cnf.hdb
│       │   │   ├── rader_hilbert.(12).cnf.hdb
│       │   │   ├── rader_hilbert(13).cnf.cdb
│       │   │   ├── rader_hilbert.(13).cnf.cdb
│       │   │   ├── rader_hilbert(13).cnf.hdb
│       │   │   ├── rader_hilbert.(13).cnf.hdb
│       │   │   ├── rader_hilbert(14).cnf.cdb
│       │   │   ├── rader_hilbert.(14).cnf.cdb
│       │   │   ├── rader_hilbert(14).cnf.hdb
│       │   │   ├── rader_hilbert.(14).cnf.hdb
│       │   │   ├── rader_hilbert(15).cnf.cdb
│       │   │   ├── rader_hilbert.(15).cnf.cdb
│       │   │   ├── rader_hilbert(15).cnf.hdb
│       │   │   ├── rader_hilbert.(15).cnf.hdb
│       │   │   ├── rader_hilbert(16).cnf.cdb
│       │   │   ├── rader_hilbert.(16).cnf.cdb
│       │   │   ├── rader_hilbert(16).cnf.hdb
│       │   │   ├── rader_hilbert.(16).cnf.hdb
│       │   │   ├── rader_hilbert(17).cnf.cdb
│       │   │   ├── rader_hilbert.(17).cnf.cdb
│       │   │   ├── rader_hilbert(17).cnf.hdb
│       │   │   ├── rader_hilbert.(17).cnf.hdb
│       │   │   ├── rader_hilbert(18).cnf.cdb
│       │   │   ├── rader_hilbert.(18).cnf.cdb
│       │   │   ├── rader_hilbert(18).cnf.hdb
│       │   │   ├── rader_hilbert.(18).cnf.hdb
│       │   │   ├── rader_hilbert(19).cnf.cdb
│       │   │   ├── rader_hilbert.(19).cnf.cdb
│       │   │   ├── rader_hilbert(19).cnf.hdb
│       │   │   ├── rader_hilbert.(19).cnf.hdb
│       │   │   ├── rader_hilbert(1).cnf.cdb
│       │   │   ├── rader_hilbert.(1).cnf.cdb
│       │   │   ├── rader_hilbert(1).cnf.hdb
│       │   │   ├── rader_hilbert.(1).cnf.hdb
│       │   │   ├── rader_hilbert(20).cnf.cdb
│       │   │   ├── rader_hilbert.(20).cnf.cdb
│       │   │   ├── rader_hilbert(20).cnf.hdb
│       │   │   ├── rader_hilbert.(20).cnf.hdb
│       │   │   ├── rader_hilbert(21).cnf.cdb
│       │   │   ├── rader_hilbert.(21).cnf.cdb
│       │   │   ├── rader_hilbert(21).cnf.hdb
│       │   │   ├── rader_hilbert.(21).cnf.hdb
│       │   │   ├── rader_hilbert(22).cnf.cdb
│       │   │   ├── rader_hilbert.(22).cnf.cdb
│       │   │   ├── rader_hilbert(22).cnf.hdb
│       │   │   ├── rader_hilbert.(22).cnf.hdb
│       │   │   ├── rader_hilbert(23).cnf.cdb
│       │   │   ├── rader_hilbert.(23).cnf.cdb
│       │   │   ├── rader_hilbert(23).cnf.hdb
│       │   │   ├── rader_hilbert.(23).cnf.hdb
│       │   │   ├── rader_hilbert(24).cnf.cdb
│       │   │   ├── rader_hilbert.(24).cnf.cdb
│       │   │   ├── rader_hilbert(24).cnf.hdb
│       │   │   ├── rader_hilbert.(24).cnf.hdb
│       │   │   ├── rader_hilbert(25).cnf.cdb
│       │   │   ├── rader_hilbert.(25).cnf.cdb
│       │   │   ├── rader_hilbert(25).cnf.hdb
│       │   │   ├── rader_hilbert.(25).cnf.hdb
│       │   │   ├── rader_hilbert(26).cnf.cdb
│       │   │   ├── rader_hilbert.(26).cnf.cdb
│       │   │   ├── rader_hilbert(26).cnf.hdb
│       │   │   ├── rader_hilbert.(26).cnf.hdb
│       │   │   ├── rader_hilbert(27).cnf.cdb
│       │   │   ├── rader_hilbert.(27).cnf.cdb
│       │   │   ├── rader_hilbert(27).cnf.hdb
│       │   │   ├── rader_hilbert.(27).cnf.hdb
│       │   │   ├── rader_hilbert(28).cnf.cdb
│       │   │   ├── rader_hilbert.(28).cnf.cdb
│       │   │   ├── rader_hilbert(28).cnf.hdb
│       │   │   ├── rader_hilbert.(28).cnf.hdb
│       │   │   ├── rader_hilbert(29).cnf.cdb
│       │   │   ├── rader_hilbert.(29).cnf.cdb
│       │   │   ├── rader_hilbert(29).cnf.hdb
│       │   │   ├── rader_hilbert.(29).cnf.hdb
│       │   │   ├── rader_hilbert(2).cnf.cdb
│       │   │   ├── rader_hilbert.(2).cnf.cdb
│       │   │   ├── rader_hilbert(2).cnf.hdb
│       │   │   ├── rader_hilbert.(2).cnf.hdb
│       │   │   ├── rader_hilbert(30).cnf.cdb
│       │   │   ├── rader_hilbert.(30).cnf.cdb
│       │   │   ├── rader_hilbert(30).cnf.hdb
│       │   │   ├── rader_hilbert.(30).cnf.hdb
│       │   │   ├── rader_hilbert(31).cnf.cdb
│       │   │   ├── rader_hilbert.(31).cnf.cdb
│       │   │   ├── rader_hilbert(31).cnf.hdb
│       │   │   ├── rader_hilbert.(31).cnf.hdb
│       │   │   ├── rader_hilbert(32).cnf.cdb
│       │   │   ├── rader_hilbert.(32).cnf.cdb
│       │   │   ├── rader_hilbert(32).cnf.hdb
│       │   │   ├── rader_hilbert.(32).cnf.hdb
│       │   │   ├── rader_hilbert(33).cnf.cdb
│       │   │   ├── rader_hilbert.(33).cnf.cdb
│       │   │   ├── rader_hilbert(33).cnf.hdb
│       │   │   ├── rader_hilbert.(33).cnf.hdb
│       │   │   ├── rader_hilbert(34).cnf.cdb
│       │   │   ├── rader_hilbert.(34).cnf.cdb
│       │   │   ├── rader_hilbert(34).cnf.hdb
│       │   │   ├── rader_hilbert.(34).cnf.hdb
│       │   │   ├── rader_hilbert(35).cnf.cdb
│       │   │   ├── rader_hilbert.(35).cnf.cdb
│       │   │   ├── rader_hilbert(35).cnf.hdb
│       │   │   ├── rader_hilbert.(35).cnf.hdb
│       │   │   ├── rader_hilbert(36).cnf.cdb
│       │   │   ├── rader_hilbert.(36).cnf.cdb
│       │   │   ├── rader_hilbert(36).cnf.hdb
│       │   │   ├── rader_hilbert.(36).cnf.hdb
│       │   │   ├── rader_hilbert(3).cnf.cdb
│       │   │   ├── rader_hilbert.(3).cnf.cdb
│       │   │   ├── rader_hilbert(3).cnf.hdb
│       │   │   ├── rader_hilbert.(3).cnf.hdb
│       │   │   ├── rader_hilbert(4).cnf.cdb
│       │   │   ├── rader_hilbert.(4).cnf.cdb
│       │   │   ├── rader_hilbert(4).cnf.hdb
│       │   │   ├── rader_hilbert.(4).cnf.hdb
│       │   │   ├── rader_hilbert(5).cnf.cdb
│       │   │   ├── rader_hilbert.(5).cnf.cdb
│       │   │   ├── rader_hilbert(5).cnf.hdb
│       │   │   ├── rader_hilbert.(5).cnf.hdb
│       │   │   ├── rader_hilbert(6).cnf.cdb
│       │   │   ├── rader_hilbert.(6).cnf.cdb
│       │   │   ├── rader_hilbert(6).cnf.hdb
│       │   │   ├── rader_hilbert.(6).cnf.hdb
│       │   │   ├── rader_hilbert(7).cnf.cdb
│       │   │   ├── rader_hilbert.(7).cnf.cdb
│       │   │   ├── rader_hilbert(7).cnf.hdb
│       │   │   ├── rader_hilbert.(7).cnf.hdb
│       │   │   ├── rader_hilbert(8).cnf.cdb
│       │   │   ├── rader_hilbert.(8).cnf.cdb
│       │   │   ├── rader_hilbert(8).cnf.hdb
│       │   │   ├── rader_hilbert.(8).cnf.hdb
│       │   │   ├── rader_hilbert(9).cnf.cdb
│       │   │   ├── rader_hilbert.(9).cnf.cdb
│       │   │   ├── rader_hilbert(9).cnf.hdb
│       │   │   ├── rader_hilbert.(9).cnf.hdb
│       │   │   ├── rader_hilbert.asm.qmsg
│       │   │   ├── rader_hilbert.cbx.xml
│       │   │   ├── rader_hilbert.cmp0.ddb
│       │   │   ├── rader_hilbert.cmp.cdb
│       │   │   ├── rader_hilbert.cmp.hdb
│       │   │   ├── rader_hilbert.cmp.logdb
│       │   │   ├── rader_hilbert_cmp.qrpt
│       │   │   ├── rader_hilbert.cmp.rdb
│       │   │   ├── rader_hilbert.cmp.tdb
│       │   │   ├── rader_hilbert.db_info
│       │   │   ├── rader_hilbert.dbp
│       │   │   ├── rader_hilbert.eco.cdb
│       │   │   ├── rader_hilbert.eds_overflow
│       │   │   ├── rader_hilbert.fit.qmsg
│       │   │   ├── rader_hilbert.hier_info
│       │   │   ├── rader_hilbert_hier_info
│       │   │   ├── rader_hilbert.hif
│       │   │   ├── rader_hilbert.map.cdb
│       │   │   ├── rader_hilbert.map.hdb
│       │   │   ├── rader_hilbert.map.logdb
│       │   │   ├── rader_hilbert.map.qmsg
│       │   │   ├── rader_hilbert.pre_map.cdb
│       │   │   ├── rader_hilbert.pre_map.hdb
│       │   │   ├── rader_hilbert.psp
│       │   │   ├── rader_hilbert.pss
│       │   │   ├── rader_hilbert.rtlv.hdb
│       │   │   ├── rader_hilbert.rtlv_sg.cdb
│       │   │   ├── rader_hilbert.rtlv_sg_swap.cdb
│       │   │   ├── rader_hilbert.sgdiff.cdb
│       │   │   ├── rader_hilbert.sgdiff.hdb
│       │   │   ├── rader_hilbert.signalprobe.cdb
│       │   │   ├── rader_hilbert.sim.cvwf
│       │   │   ├── rader_hilbert.sim.hdb
│       │   │   ├── rader_hilbert.sim.qmsg
│       │   │   ├── rader_hilbert_sim.qrpt
│       │   │   ├── rader_hilbert.sim.rdb
│       │   │   ├── rader_hilbert-sim.vwf
│       │   │   ├── rader_hilbert.sld_design_entry_dsc.sci
│       │   │   ├── rader_hilbert.sld_design_entry.sci
│       │   │   ├── rader_hilbert.syn_hier_info
│       │   │   ├── rader_hilbert_syn_hier_info
│       │   │   ├── rader_hilbert.tan.qmsg
│       │   │   └── wed.wsf
│       │   ├── expand.BSF
│       │   ├── expand.vhd
│       │   ├── forpaper.bdf
│       │   ├── indatamux.bdf
│       │   ├── indatamux.bsf
│       │   ├── oesel.BSF
│       │   ├── oesel.csf.rpt
│       │   ├── oesel.eqn
│       │   ├── oesel.pin
│       │   ├── oesel.qsf
│       │   ├── oesel.ssf.rpt
│       │   ├── oesel.vhd
│       │   ├── rader_hilbert_1.pof
│       │   ├── rader_hilbert_2.pof
│       │   ├── rader_hilbert_3.pof
│       │   ├── rader_hilbert_4.pof
│       │   ├── rader_hilbert.asm.rpt
│       │   ├── rader_hilbert_assignment_defaults.qdf
│       │   ├── rader_hilbert.bak
│       │   │   ├── ad_end_defact.csf
│       │   │   ├── ad_end_defact.ssf
│       │   │   ├── contract.csf
│       │   │   ├── Debug.fsf
│       │   │   ├── oesel.csf
│       │   │   ├── oesel.ssf
│       │   │   ├── rader_hilbert.csf
│       │   │   ├── rader_hilbert.psf
│       │   │   ├── rader_hilbert.quartus
│       │   │   ├── rader_hilbert.ssf
│       │   │   ├── Release.fsf
│       │   │   ├── sw1_4.csf
│       │   │   └── sw1_4.ssf
│       │   ├── rader_hilbert.bdf
│       │   ├── rader_hilbert.bsf
│       │   ├── rader_hilbert.csf.rpt
│       │   ├── rader_hilbert.done
│       │   ├── rader_hilbert.eco
│       │   ├── rader_hilbert.eqn
│       │   ├── rader_hilbert.fit.eqn
│       │   ├── rader_hilbert.fit.rpt
│       │   ├── rader_hilbert.fit.smsg
│       │   ├── rader_hilbert.fit.summary
│       │   ├── rader_hilbert.flow.rpt
│       │   ├── rader_hilbert.map.eqn
│       │   ├── rader_hilbert.map.rpt
│       │   ├── rader_hilbert.map.summary
│       │   ├── rader_hilbert.pin
│       │   ├── rader_hilbert.pof
│       │   ├── rader_hilbert.qpf
│       │   ├── rader_hilbert.qsf
│       │   ├── rader_hilbert.qws
│       │   ├── rader_hilbert.sim.rpt
│       │   ├── rader_hilbert.sof
│       │   ├── rader_hilbert.ssf.rpt
│       │   ├── rader_hilbert.tan.rpt
│       │   ├── rader_hilbert.tan.summary
│       │   ├── rader_hilbert.vec
│       │   ├── rader_hilbert.vwf
│       │   ├── rd_contract.BSF
│       │   ├── rd_contract.vhd
│       │   ├── rd_contr.bdf
│       │   ├── rd_contr.bsf
│       │   ├── rd_lpm_add_sub0.bsf
│       │   ├── rd_lpm_add_sub0.cmp
│       │   ├── rd_lpm_add_sub0.inc
│       │   ├── rd_lpm_add_sub0_inst.vhd
│       │   ├── rd_lpm_add_sub0.vhd
│       │   ├── rd_lpm_add_sub2.bsf
│       │   ├── rd_lpm_add_sub2.cmp
│       │   ├── rd_lpm_add_sub2.inc
│       │   ├── rd_lpm_add_sub2_inst.vhd
│       │   ├── rd_lpm_add_sub2.vhd
│       │   ├── rh_lpm_add_sub1.bsf
│       │   ├── rh_lpm_add_sub1.cmp
│       │   ├── rh_lpm_add_sub1.inc
│       │   ├── rh_lpm_add_sub1_inst.vhd
│       │   ├── rh_lpm_add_sub1.vhd
│       │   ├── rh_lpm_dff0.bsf
│       │   ├── rh_lpm_dff0.cmp
│       │   ├── rh_lpm_dff0.inc
│       │   ├── rh_lpm_dff0_inst.vhd
│       │   ├── rh_lpm_dff0.vhd
│       │   ├── rh_lpm_mult0.bsf
│       │   ├── rh_lpm_mult0.cmp
│       │   ├── rh_lpm_mult0.inc
│       │   ├── rh_lpm_mult0_inst.vhd
│       │   ├── rh_lpm_mult0.vhd
│       │   ├── rh_lpm_mult_Q.bsf
│       │   ├── rh_lpm_mult_Q.cmp
│       │   ├── rh_lpm_mult_Q.inc
│       │   ├── rh_lpm_mult_Q_inst.vhd
│       │   ├── rh_lpm_mult_Q.vhd
│       │   ├── serv_req_info.txt
│       │   ├── subdiv2.BSF
│       │   ├── subdiv2.vhd
│       │   ├── sw1_41.qsf
│       │   ├── sw1_4.BSF
│       │   ├── sw1_4.csf.rpt
│       │   ├── sw1_4.eqn
│       │   ├── sw1_4.inc
│       │   ├── sw1_4.pin
│       │   ├── sw1_4.qsf
│       │   ├── sw1_4.ssf.rpt
│       │   ├── sw1_4.vhd
│       │   └── undo_redo.txt
│       └── read_wxd.txt
└── 光盘说明.txt

56 directories, 1465 files

标签:

实例下载地址

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警