在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例Clojure → crc gen verilog pdf

crc gen verilog pdf

Clojure

下载此实例
  • 开发语言:Others
  • 实例大小:0.06M
  • 下载次数:1
  • 浏览次数:34
  • 发布时间:2023-03-09
  • 实例类别:Clojure
  • 发 布 人:xxy27978888888
  • 文件格式:.gz
  • 所需积分:2
 相关标签: verilog CRC Log GE en

实例介绍

【实例简介】crc gen  verilog pdf

【实例截图】

from clipboard

【核心代码】

Description
CRC Generator is a command-line application that generates Verilog or VHDL code for CRC of any data width
between 1 and 1024 and polynomial width between 1 and 1024. The code is written in C and is cross-platform
compatible
Parameters
language: verilog or vhdl
data_width : data bus width {1..1024}
poly_width : polynomial width {1..1024}
poly_string : a string that describes CRC polynomial.
Examples: 05
 = x5 x2 1
8005 = x16 x15 x2 1
Note: string representation (0x05, 0x8005) doesn’t include highest degree coefficient in polynomial
representation (x5 and x16 in the above examples)
Output Examples
[1] C:\OutputLogic> crc-gen
usage:
crc-gen language data_width poly_width poly_string
parameters:
language : verilog or vhdl
data_width : data bus width {1..1024}
poly_width : polynomial width {1..1024}
poly_string : polynomial string in hex
example: usb crc5 = x^5 x^2 1
crc-gen verilog 8 5 5

.
├── crc-gen
│   ├── crc-gen.cpp
│   ├── crc-gen.sln
│   ├── crc-gen.vcproj
│   ├── stdafx.cpp
│   └── stdafx.h
├── crc-gen-gcc
│   ├── crc-gen.cpp
│   └── makefile
├── crc-gen.exe
├── crc-gen.pdf
└── 好例子网_crc-gen.tar.gz

2 directories, 10 files


标签: verilog CRC Log GE en

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警