在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → FPGA千兆以太网UDP协议实现

FPGA千兆以太网UDP协议实现

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:18.71M
  • 下载次数:15
  • 浏览次数:74
  • 发布时间:2023-02-06
  • 实例类别:一般编程问题
  • 发 布 人:lanxifan531
  • 文件格式:.rar
  • 所需积分:1
 相关标签: FPGA UDP 以太网 实现 DP

实例介绍

【实例简介】FPGA千兆以太网UDP协议实现

verilog实现千兆以太网的UDP协议实现

【实例截图】

【核心代码】

.
├── FPGA千兆以太网UDP协议实现_eth_udp_loop.rar
└── eth_udp_loop
    ├── eth_udp_loop.cache
    │   ├── compile_simlib
    │   │   ├── activehdl
    │   │   ├── ies
    │   │   ├── modelsim
    │   │   ├── questa
    │   │   ├── riviera
    │   │   ├── vcs
    │   │   └── xcelium
    │   ├── ip
    │   │   └── 2019.2
    │   │       ├── 0a0dd92a4666dc5d
    │   │       │   ├── 0a0dd92a4666dc5d.xci
    │   │       │   ├── sync_fifo_2048x32b.dcp
    │   │       │   ├── sync_fifo_2048x32b_sim_netlist.v
    │   │       │   ├── sync_fifo_2048x32b_sim_netlist.vhdl
    │   │       │   ├── sync_fifo_2048x32b_stub.v
    │   │       │   └── sync_fifo_2048x32b_stub.vhdl
    │   │       └── cc872d33149c84f7
    │   │           ├── cc872d33149c84f7.xci
    │   │           ├── clk_wiz.dcp
    │   │           ├── clk_wiz_sim_netlist.v
    │   │           ├── clk_wiz_sim_netlist.vhdl
    │   │           ├── clk_wiz_stub.v
    │   │           └── clk_wiz_stub.vhdl
    │   └── wt
    │       ├── gui_handlers.wdf
    │       ├── java_command_handlers.wdf
    │       ├── project.wpc
    │       ├── synthesis.wdf
    │       ├── synthesis_details.wdf
    │       └── webtalk_pa.xml
    ├── eth_udp_loop.hw
    │   ├── eth_udp_loop.lpr
    │   └── hw_1
    │       ├── hw.xml
    │       └── wave
    ├── eth_udp_loop.ip_user_files
    │   ├── README.txt
    │   ├── ip
    │   │   ├── clk_wiz
    │   │   │   ├── clk_wiz.veo
    │   │   │   ├── clk_wiz_stub.v
    │   │   │   └── clk_wiz_stub.vhdl
    │   │   ├── ila_0
    │   │   │   ├── ila_0.veo
    │   │   │   ├── ila_0_stub.v
    │   │   │   └── ila_0_stub.vhdl
    │   │   └── sync_fifo_2048x32b
    │   │       ├── sync_fifo_2048x32b.veo
    │   │       ├── sync_fifo_2048x32b.vho
    │   │       ├── sync_fifo_2048x32b_stub.v
    │   │       └── sync_fifo_2048x32b_stub.vhdl
    │   ├── ipstatic
    │   │   ├── hdl
    │   │   │   ├── fifo_generator_v13_2_rfs.v
    │   │   │   └── fifo_generator_v13_2_rfs.vhd
    │   │   └── simulation
    │   │       └── fifo_generator_vlog_beh.v
    │   └── sim_scripts
    │       └── ila_0
    │           ├── README.txt
    │           ├── activehdl
    │           │   ├── README.txt
    │           │   ├── compile.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── ila_0.sh
    │           │   ├── ila_0.udo
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── ies
    │           │   ├── README.txt
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── ila_0.sh
    │           │   └── run.f
    │           ├── modelsim
    │           │   ├── README.txt
    │           │   ├── compile.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── ila_0.sh
    │           │   ├── ila_0.udo
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── questa
    │           │   ├── README.txt
    │           │   ├── compile.do
    │           │   ├── elaborate.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── ila_0.sh
    │           │   ├── ila_0.udo
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── riviera
    │           │   ├── README.txt
    │           │   ├── compile.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── ila_0.sh
    │           │   ├── ila_0.udo
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── vcs
    │           │   ├── README.txt
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── ila_0.sh
    │           │   └── simulate.do
    │           ├── xcelium
    │           │   ├── README.txt
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── ila_0.sh
    │           │   └── run.f
    │           └── xsim
    │               ├── README.txt
    │               ├── cmd.tcl
    │               ├── elab.opt
    │               ├── file_info.txt
    │               ├── glbl.v
    │               ├── ila_0.sh
    │               ├── vlog.prj
    │               └── xsim.ini
    ├── eth_udp_loop.runs
    │   ├── clk_wiz_synth_1
    │   │   ├── ISEWrap.js
    │   │   ├── ISEWrap.sh
    │   │   ├── __synthesis_is_complete__
    │   │   ├── clk_wiz.dcp
    │   │   ├── clk_wiz.tcl
    │   │   ├── clk_wiz.vds
    │   │   ├── clk_wiz_utilization_synth.pb
    │   │   ├── clk_wiz_utilization_synth.rpt
    │   │   ├── dont_touch.xdc
    │   │   ├── gen_run.xml
    │   │   ├── htr.txt
    │   │   ├── rundef.js
    │   │   ├── runme.bat
    │   │   ├── runme.log
    │   │   ├── runme.sh
    │   │   ├── vivado.jou
    │   │   └── vivado.pb
    │   ├── impl_2
    │   │   ├── ISEWrap.js
    │   │   ├── ISEWrap.sh
    │   │   ├── eth_udp_loop.bit
    │   │   ├── eth_udp_loop.tcl
    │   │   ├── eth_udp_loop.vdi
    │   │   ├── eth_udp_loop_bus_skew_routed.pb
    │   │   ├── eth_udp_loop_bus_skew_routed.rpt
    │   │   ├── eth_udp_loop_bus_skew_routed.rpx
    │   │   ├── eth_udp_loop_clock_utilization_routed.rpt
    │   │   ├── eth_udp_loop_control_sets_placed.rpt
    │   │   ├── eth_udp_loop_drc_opted.pb
    │   │   ├── eth_udp_loop_drc_opted.rpt
    │   │   ├── eth_udp_loop_drc_opted.rpx
    │   │   ├── eth_udp_loop_drc_routed.pb
    │   │   ├── eth_udp_loop_drc_routed.rpt
    │   │   ├── eth_udp_loop_drc_routed.rpx
    │   │   ├── eth_udp_loop_io_placed.rpt
    │   │   ├── eth_udp_loop_methodology_drc_routed.pb
    │   │   ├── eth_udp_loop_methodology_drc_routed.rpt
    │   │   ├── eth_udp_loop_methodology_drc_routed.rpx
    │   │   ├── eth_udp_loop_opt.dcp
    │   │   ├── eth_udp_loop_physopt.dcp
    │   │   ├── eth_udp_loop_placed.dcp
    │   │   ├── eth_udp_loop_power_routed.rpt
    │   │   ├── eth_udp_loop_power_routed.rpx
    │   │   ├── eth_udp_loop_power_summary_routed.pb
    │   │   ├── eth_udp_loop_route_status.pb
    │   │   ├── eth_udp_loop_route_status.rpt
    │   │   ├── eth_udp_loop_routed.dcp
    │   │   ├── eth_udp_loop_timing_summary_routed.pb
    │   │   ├── eth_udp_loop_timing_summary_routed.rpt
    │   │   ├── eth_udp_loop_timing_summary_routed.rpx
    │   │   ├── eth_udp_loop_utilization_placed.pb
    │   │   ├── eth_udp_loop_utilization_placed.rpt
    │   │   ├── gen_run.xml
    │   │   ├── htr.txt
    │   │   ├── init_design.pb
    │   │   ├── opt_design.pb
    │   │   ├── phys_opt_design.pb
    │   │   ├── place_design.pb
    │   │   ├── project.wdf
    │   │   ├── route_design.pb
    │   │   ├── rundef.js
    │   │   ├── runme.bat
    │   │   ├── runme.log
    │   │   ├── runme.sh
    │   │   ├── usage_statistics_webtalk.html
    │   │   ├── usage_statistics_webtalk.xml
    │   │   ├── vivado.jou
    │   │   ├── vivado.pb
    │   │   └── write_bitstream.pb
    │   ├── sync_fifo_2048x32b_synth_1
    │   │   ├── ISEWrap.js
    │   │   ├── ISEWrap.sh
    │   │   ├── __synthesis_is_complete__
    │   │   ├── dont_touch.xdc
    │   │   ├── gen_run.xml
    │   │   ├── htr.txt
    │   │   ├── rundef.js
    │   │   ├── runme.bat
    │   │   ├── runme.log
    │   │   ├── runme.sh
    │   │   ├── sync_fifo_2048x32b.dcp
    │   │   ├── sync_fifo_2048x32b.tcl
    │   │   ├── sync_fifo_2048x32b.vds
    │   │   ├── sync_fifo_2048x32b_utilization_synth.pb
    │   │   ├── sync_fifo_2048x32b_utilization_synth.rpt
    │   │   ├── vivado.jou
    │   │   └── vivado.pb
    │   └── synth_1
    │       ├── ISEWrap.js
    │       ├── ISEWrap.sh
    │       ├── __synthesis_is_complete__
    │       ├── eth_udp_loop.dcp
    │       ├── eth_udp_loop.tcl
    │       ├── eth_udp_loop.vds
    │       ├── eth_udp_loop_utilization_synth.pb
    │       ├── eth_udp_loop_utilization_synth.rpt
    │       ├── gen_run.xml
    │       ├── htr.txt
    │       ├── rundef.js
    │       ├── runme.bat
    │       ├── runme.log
    │       ├── runme.sh
    │       ├── vivado.jou
    │       └── vivado.pb
    ├── eth_udp_loop.sim
    ├── eth_udp_loop.srcs
    │   ├── constrs_1
    │   │   └── new
    │   │       └── eth_udp_loop.xdc
    │   └── sources_1
    │       ├── ip
    │       │   ├── clk_wiz
    │       │   │   ├── clk_wiz.dcp
    │       │   │   ├── clk_wiz.v
    │       │   │   ├── clk_wiz.veo
    │       │   │   ├── clk_wiz.xci
    │       │   │   ├── clk_wiz.xdc
    │       │   │   ├── clk_wiz.xml
    │       │   │   ├── clk_wiz_board.xdc
    │       │   │   ├── clk_wiz_clk_wiz.v
    │       │   │   ├── clk_wiz_ooc.xdc
    │       │   │   ├── clk_wiz_sim_netlist.v
    │       │   │   ├── clk_wiz_sim_netlist.vhdl
    │       │   │   ├── clk_wiz_stub.v
    │       │   │   ├── clk_wiz_stub.vhdl
    │       │   │   ├── mmcm_pll_drp_func_7s_mmcm.vh
    │       │   │   ├── mmcm_pll_drp_func_7s_pll.vh
    │       │   │   ├── mmcm_pll_drp_func_us_mmcm.vh
    │       │   │   ├── mmcm_pll_drp_func_us_pll.vh
    │       │   │   ├── mmcm_pll_drp_func_us_plus_mmcm.vh
    │       │   │   └── mmcm_pll_drp_func_us_plus_pll.vh
    │       │   └── sync_fifo_2048x32b
    │       │       ├── hdl
    │       │       │   ├── blk_mem_gen_v8_4_vhsyn_rfs.vhd
    │       │       │   └── fifo_generator_v13_2_vhsyn_rfs.vhd
    │       │       ├── sync_fifo_2048x32b.dcp
    │       │       ├── sync_fifo_2048x32b.veo
    │       │       ├── sync_fifo_2048x32b.vho
    │       │       ├── sync_fifo_2048x32b.xci
    │       │       ├── sync_fifo_2048x32b.xdc
    │       │       ├── sync_fifo_2048x32b.xml
    │       │       ├── sync_fifo_2048x32b_ooc.xdc
    │       │       ├── sync_fifo_2048x32b_sim_netlist.v
    │       │       ├── sync_fifo_2048x32b_sim_netlist.vhdl
    │       │       ├── sync_fifo_2048x32b_stub.v
    │       │       ├── sync_fifo_2048x32b_stub.vhdl
    │       │       └── synth
    │       │           └── sync_fifo_2048x32b.vhd
    │       └── new
    │           ├── arp
    │           │   ├── arp.v
    │           │   ├── arp_rx.v
    │           │   ├── arp_tx.v
    │           │   └── crc32_d8.v
    │           ├── eth_ctrl.v
    │           ├── eth_udp_loop.v
    │           ├── gmii_to_rgmii
    │           │   ├── gmii_to_rgmii.v
    │           │   ├── rgmii_rx.v
    │           │   └── rgmii_tx.v
    │           └── udp
    │               ├── udp.v
    │               ├── udp_rx.v
    │               └── udp_tx.v
    ├── eth_udp_loop.xpr
    └── sim
        └── tb
            └── tb_udp.v

57 directories, 241 files


标签: FPGA UDP 以太网 实现 DP

实例下载地址

FPGA千兆以太网UDP协议实现

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警