在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例Clojure → AES的fpga代码实现(vivado版本)

AES的fpga代码实现(vivado版本)

Clojure

下载此实例
  • 开发语言:Others
  • 实例大小:1.67M
  • 下载次数:2
  • 浏览次数:35
  • 发布时间:2022-11-09
  • 实例类别:Clojure
  • 发 布 人:shandongtou
  • 文件格式:.rar
  • 所需积分:2
 相关标签: FPGA AES 实现 ES AE Vivado

实例介绍

【实例简介】AES的fpga代码实现(vivado版本)

vivado版本的AES加密解密模块。

【实例截图】

from clipboard

【核心代码】

.
├── AES_project_4
│   ├── project_4.cache
│   │   ├── compile_simlib
│   │   │   ├── activehdl
│   │   │   ├── ies
│   │   │   ├── modelsim
│   │   │   ├── questa
│   │   │   ├── riviera
│   │   │   ├── vcs
│   │   │   └── xcelium
│   │   ├── ip
│   │   │   └── 2019.1
│   │   └── wt
│   │       ├── gui_handlers.wdf
│   │       ├── java_command_handlers.wdf
│   │       ├── project.wpc
│   │       ├── synthesis.wdf
│   │       ├── synthesis_details.wdf
│   │       ├── webtalk_pa.xml
│   │       └── xsim.wdf
│   ├── project_4.hw
│   │   └── project_4.lpr
│   ├── project_4.ip_user_files
│   │   └── README.txt
│   ├── project_4.runs
│   │   ├── impl_1
│   │   │   ├── ISEWrap.js
│   │   │   ├── ISEWrap.sh
│   │   │   ├── aes_cipher_top.tcl
│   │   │   ├── aes_cipher_top.vdi
│   │   │   ├── aes_cipher_top_7812.backup.vdi
│   │   │   ├── aes_cipher_top_bus_skew_routed.pb
│   │   │   ├── aes_cipher_top_bus_skew_routed.rpt
│   │   │   ├── aes_cipher_top_bus_skew_routed.rpx
│   │   │   ├── aes_cipher_top_clock_utilization_routed.rpt
│   │   │   ├── aes_cipher_top_control_sets_placed.rpt
│   │   │   ├── aes_cipher_top_drc_opted.pb
│   │   │   ├── aes_cipher_top_drc_opted.rpt
│   │   │   ├── aes_cipher_top_drc_opted.rpx
│   │   │   ├── aes_cipher_top_drc_routed.pb
│   │   │   ├── aes_cipher_top_drc_routed.rpt
│   │   │   ├── aes_cipher_top_drc_routed.rpx
│   │   │   ├── aes_cipher_top_io_placed.rpt
│   │   │   ├── aes_cipher_top_methodology_drc_routed.pb
│   │   │   ├── aes_cipher_top_methodology_drc_routed.rpt
│   │   │   ├── aes_cipher_top_methodology_drc_routed.rpx
│   │   │   ├── aes_cipher_top_opt.dcp
│   │   │   ├── aes_cipher_top_placed.dcp
│   │   │   ├── aes_cipher_top_power_routed.rpt
│   │   │   ├── aes_cipher_top_power_routed.rpx
│   │   │   ├── aes_cipher_top_power_summary_routed.pb
│   │   │   ├── aes_cipher_top_route_status.pb
│   │   │   ├── aes_cipher_top_route_status.rpt
│   │   │   ├── aes_cipher_top_routed.dcp
│   │   │   ├── aes_cipher_top_timing_summary_routed.pb
│   │   │   ├── aes_cipher_top_timing_summary_routed.rpt
│   │   │   ├── aes_cipher_top_timing_summary_routed.rpx
│   │   │   ├── aes_cipher_top_utilization_placed.pb
│   │   │   ├── aes_cipher_top_utilization_placed.rpt
│   │   │   ├── gen_run.xml
│   │   │   ├── htr.txt
│   │   │   ├── init_design.pb
│   │   │   ├── opt_design.pb
│   │   │   ├── place_design.pb
│   │   │   ├── project.wdf
│   │   │   ├── route_design.pb
│   │   │   ├── rundef.js
│   │   │   ├── runme.bat
│   │   │   ├── runme.log
│   │   │   ├── runme.sh
│   │   │   ├── vivado.jou
│   │   │   ├── vivado.pb
│   │   │   └── vivado_7812.backup.jou
│   │   └── synth_1
│   │       ├── ISEWrap.js
│   │       ├── ISEWrap.sh
│   │       ├── __synthesis_is_complete__
│   │       ├── aes_cipher_top.dcp
│   │       ├── aes_cipher_top.tcl
│   │       ├── aes_cipher_top.vds
│   │       ├── aes_cipher_top_utilization_synth.pb
│   │       ├── aes_cipher_top_utilization_synth.rpt
│   │       ├── gen_run.xml
│   │       ├── htr.txt
│   │       ├── project.wdf
│   │       ├── rundef.js
│   │       ├── runme.bat
│   │       ├── runme.log
│   │       ├── runme.sh
│   │       ├── vivado.jou
│   │       └── vivado.pb
│   ├── project_4.sim
│   │   └── sim_1
│   │       ├── behav
│   │       │   └── xsim
│   │       │       ├── aes_inv_test.tcl
│   │       │       ├── aes_inv_test_behav.wdb
│   │       │       ├── aes_inv_test_vlog.prj
│   │       │       ├── aes_test.tcl
│   │       │       ├── aes_test_behav.wdb
│   │       │       ├── aes_test_vlog.prj
│   │       │       ├── compile.bat
│   │       │       ├── compile.log
│   │       │       ├── elaborate.bat
│   │       │       ├── elaborate.log
│   │       │       ├── glbl.v
│   │       │       ├── simulate.bat
│   │       │       ├── simulate.log
│   │       │       ├── webtalk.jou
│   │       │       ├── webtalk.log
│   │       │       ├── webtalk_10216.backup.jou
│   │       │       ├── webtalk_10216.backup.log
│   │       │       ├── webtalk_15400.backup.jou
│   │       │       ├── webtalk_15400.backup.log
│   │       │       ├── webtalk_2872.backup.jou
│   │       │       ├── webtalk_2872.backup.log
│   │       │       ├── xelab.pb
│   │       │       ├── xsim.dir
│   │       │       │   ├── aes_inv_test_behav
│   │       │       │   │   ├── Compile_Options.txt
│   │       │       │   │   ├── TempBreakPointFile.txt
│   │       │       │   │   ├── obj
│   │       │       │   │   │   ├── xsim_0.win64.obj
│   │       │       │   │   │   ├── xsim_1.c
│   │       │       │   │   │   └── xsim_1.win64.obj
│   │       │       │   │   ├── webtalk
│   │       │       │   │   │   ├── usage_statistics_ext_xsim.html
│   │       │       │   │   │   ├── usage_statistics_ext_xsim.wdm
│   │       │       │   │   │   ├── usage_statistics_ext_xsim.xml
│   │       │       │   │   │   └── xsim_webtalk.tcl
│   │       │       │   │   ├── xsim.dbg
│   │       │       │   │   ├── xsim.mem
│   │       │       │   │   ├── xsim.reloc
│   │       │       │   │   ├── xsim.rlx
│   │       │       │   │   ├── xsim.rtti
│   │       │       │   │   ├── xsim.svtype
│   │       │       │   │   ├── xsim.type
│   │       │       │   │   ├── xsim.xdbg
│   │       │       │   │   ├── xsimSettings.ini
│   │       │       │   │   ├── xsimcrash.log
│   │       │       │   │   ├── xsimk.exe
│   │       │       │   │   └── xsimkernel.log
│   │       │       │   ├── aes_test_behav
│   │       │       │   │   ├── Compile_Options.txt
│   │       │       │   │   ├── TempBreakPointFile.txt
│   │       │       │   │   ├── obj
│   │       │       │   │   │   ├── xsim_0.win64.obj
│   │       │       │   │   │   ├── xsim_1.c
│   │       │       │   │   │   └── xsim_1.win64.obj
│   │       │       │   │   ├── webtalk
│   │       │       │   │   │   ├── usage_statistics_ext_xsim.html
│   │       │       │   │   │   ├── usage_statistics_ext_xsim.wdm
│   │       │       │   │   │   ├── usage_statistics_ext_xsim.xml
│   │       │       │   │   │   └── xsim_webtalk.tcl
│   │       │       │   │   ├── xsim.dbg
│   │       │       │   │   ├── xsim.mem
│   │       │       │   │   ├── xsim.reloc
│   │       │       │   │   ├── xsim.rlx
│   │       │       │   │   ├── xsim.rtti
│   │       │       │   │   ├── xsim.svtype
│   │       │       │   │   ├── xsim.type
│   │       │       │   │   ├── xsim.xdbg
│   │       │       │   │   ├── xsimSettings.ini
│   │       │       │   │   ├── xsimcrash.log
│   │       │       │   │   ├── xsimk.exe
│   │       │       │   │   └── xsimkernel.log
│   │       │       │   └── xil_defaultlib
│   │       │       │       ├── aes_cipher_top.sdb
│   │       │       │       ├── aes_inv_cipher_top.sdb
│   │       │       │       ├── aes_inv_sbox.sdb
│   │       │       │       ├── aes_inv_test.sdb
│   │       │       │       ├── aes_key_expand_128.sdb
│   │       │       │       ├── aes_rcon.sdb
│   │       │       │       ├── aes_sbox.sdb
│   │       │       │       ├── aes_test.sdb
│   │       │       │       ├── glbl.sdb
│   │       │       │       └── xil_defaultlib.rlx
│   │       │       ├── xsim.ini
│   │       │       ├── xvlog.log
│   │       │       └── xvlog.pb
│   │       └── impl
│   │           └── timing
│   │               └── xsim
│   │                   ├── aes_inv_test_time_impl.sdf
│   │                   ├── aes_inv_test_time_impl.v
│   │                   ├── aes_inv_test_vlog.prj
│   │                   ├── compile.bat
│   │                   └── xsim.ini
│   ├── project_4.srcs
│   │   ├── sim_1
│   │   │   └── imports
│   │   │       └── AES-FPGA-master
│   │   │           ├── aes_core
│   │   │           │   └── timescale.v
│   │   │           ├── aes_inv_test.v
│   │   │           └── aes_test.v
│   │   └── sources_1
│   │       └── imports
│   │           └── aes_core
│   │               ├── aes_cipher_top.v
│   │               ├── aes_inv_cipher_top.v
│   │               ├── aes_inv_sbox.v
│   │               ├── aes_key_expand_128.v
│   │               ├── aes_rcon.v
│   │               ├── aes_sbox.v
│   │               └── timescale.v
│   ├── project_4.xpr
│   ├── vivado.jou
│   └── vivado.log
└── AES的fpga代码实现(vivado版本).rar

41 directories, 169 files


标签: FPGA AES 实现 ES AE Vivado

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警