在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例Clojure → prime time suite variables and attributes(黄金时段套件变量和属性)

prime time suite variables and attributes(黄金时段套件变量和属性)

Clojure

下载此实例
  • 开发语言:Others
  • 实例大小:1.77M
  • 下载次数:2
  • 浏览次数:275
  • 发布时间:2022-09-21
  • 实例类别:Clojure
  • 发 布 人:下一天为明天
  • 文件格式:.pdf
  • 所需积分:2
 相关标签: time BLE and ITE PRI

实例介绍

【实例简介】prime time suite variables and attributes(黄金时段套件变量和属性)

【实例截图】

【核心代码】

Contents
1
aocv_metrics_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
aocvm_table_group_setting_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
arch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
auto_fixable_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
auto_link_disable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
auto_wire_load_selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
boundary_ideal_network_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
boundary_logic_value_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
bus_naming_style . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
case_analysis_log_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
case_analysis_propagate_through_icg . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
case_analysis_sequential_propagation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
category_node_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
category_tree_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
cell_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
clock_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
clock_attributes_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
clock_latency_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
clock_mapping_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
clock_relations_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
clock_skew_with_uncertainty_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53
clock_uncertainty_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55Contents
vi
PrimeTime
®
Suite Variables and Attributes K-2015.12-SP2
PrimeTime
®
Suite Variables and Attributes Version K-2015.12-SP2
collection_result_display_limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
correlation_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58
create_clock_no_input_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
data_arrival_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
dbr_ignore_external_links . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
default_oc_per_lib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
delay_calc_waveform_analysis_constraint_arcs_compatibility . . . . . . . . . . . . . 64
delay_calc_waveform_analysis_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
design_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
disable_case_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
disable_case_analysis_ti_hi_lo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
distributed_custom_protocol_error_detection_timeout . . . . . . . . . . . . . . . . . . . 85
distributed_farm_protocol_error_detection_timeout . . . . . . . . . . . . . . . . . . . . . . 86
distributed_logging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
distributed_sh_protocol_error_detection_timeout . . . . . . . . . . . . . . . . . . . . . . . 89
eco_allow_filler_cells_as_open_sites . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
eco_alternative_area_ratio_threshold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
eco_alternative_cell_attribute_restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
eco_enable_mim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93
eco_enable_more_scenarios_than_hosts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
eco_estimation_output_columns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
eco_insert_buffer_search_distance_in_site_rows . . . . . . . . . . . . . . . . . . . . . . . 97
eco_instance_name_prefix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
eco_leakage_exclude_unconstrained_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
eco_net_name_prefix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
eco_power_exclude_unconstrained_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
eco_report_unfixed_reason_max_endpoints . . . . . . . . . . . . . . . . . . . . . . . . . . 105
eco_strict_pin_name_equivalence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
eco_write_changes_prepend_libfile_to_libcell . . . . . . . . . . . . . . . . . . . . . . . . . 107
eco_write_changes_prepend_libname_to_libcell . . . . . . . . . . . . . . . . . . . . . . 109
enable_golden_upf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
enable_license_auto_reduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
enable_rule_based_query . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113Chapter 1: Contents
1-vii
Contents
vii
PrimeTime® Suite Variables and Attributes Version K-2015.12-SP2
env_variables_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
extract_model_capacitance_limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
extract_model_clock_latency_arcs_include_all_registers . . . . . . . . . . . . . . . . 117
extract_model_clock_transition_limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
extract_model_data_transition_limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
extract_model_db_naming_compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
extract_model_enable_report_delay_calculation . . . . . . . . . . . . . . . . . . . . . . . 121
extract_model_gating_as_nochange . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
extract_model_include_clock_tree_pulse_width . . . . . . . . . . . . . . . . . . . . . . . 123
extract_model_include_ideal_clock_network_latency . . . . . . . . . . . . . . . . . . . 124
extract_model_include_upf_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
extract_model_keep_inferred_nochange_arcs . . . . . . . . . . . . . . . . . . . . . . . . 126
extract_model_lib_format_with_check_pins . . . . . . . . . . . . . . . . . . . . . . . . . . 128
extract_model_merge_clock_gating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129
extract_model_noise_iv_index_lower_factor . . . . . . . . . . . . . . . . . . . . . . . . . . 130
extract_model_noise_iv_index_upper_factor . . . . . . . . . . . . . . . . . . . . . . . . . . 131
extract_model_noise_width_points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
extract_model_num_capacitance_points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
extract_model_num_clock_transition_points . . . . . . . . . . . . . . . . . . . . . . . . . . 134
extract_model_num_data_transition_points . . . . . . . . . . . . . . . . . . . . . . . . . . 135
extract_model_num_noise_iv_points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136
extract_model_num_noise_width_points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137
extract_model_single_pin_cap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138
extract_model_single_pin_cap_max . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139
extract_model_split_partial_clock_gating_arcs . . . . . . . . . . . . . . . . . . . . . . . . 140
extract_model_status_level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
extract_model_suppress_three_state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143
extract_model_upf_supply_precedence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
extract_model_use_conservative_current_slew . . . . . . . . . . . . . . . . . . . . . . . 146
extract_model_with_3d_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147
extract_model_with_ccs_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148
extract_model_with_clock_latency_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149
extract_model_with_min_max_delay_constraint . . . . . . . . . . . . . . . . . . . . . . . 150Contents
viii
PrimeTime
®
Suite Variables and Attributes K-2015.12-SP2
PrimeTime
®
Suite Variables and Attributes Version K-2015.12-SP2
extract_model_write_case_values_to_constraint_file . . . . . . . . . . . . . . . . . . . 151
extract_model_write_verilog_format_wrapper . . . . . . . . . . . . . . . . . . . . . . . . . 153
gca_setup_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
global_drc_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
global_timing_derate_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
golden_upf_report_missing_objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
gui_object_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
hier_modeling_version . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162
hier_scope_check_defaults . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164
hierarchy_separator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166
hyperscale_clock_mapping_period_percent_tolerance . . . . . . . . . . . . . . . . . . 167
hyperscale_constraint_extractor_characterize_context . . . . . . . . . . . . . . . . . . 168
hyperscale_constraint_extractor_enforcement . . . . . . . . . . . . . . . . . . . . . . . . 169
hyperscale_constraint_extractor_output_all_variables . . . . . . . . . . . . . . . . . . 171
hyperscale_constraint_output_compressed . . . . . . . . . . . . . . . . . . . . . . . . . . . 172
hyperscale_constraint_output_format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173
hyperscale_constraint_output_no_split . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174
hyperscale_constraint_write_context . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175
hyperscale_data_version . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176
hyperscale_disable_auto_clock_mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177
hyperscale_enable_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178
hyperscale_enable_block_constraint_extractor . . . . . . . . . . . . . . . . . . . . . . . . 180
hyperscale_enable_eco_context . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182
hyperscale_keep_required_time_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184
hyperscale_merge_match_clock_with_constant . . . . . . . . . . . . . . . . . . . . . . . 186
hyperscale_save_session_include_external_data . . . . . . . . . . . . . . . . . . . . . . 188
ilm_ignore_percentage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190
in_gui_session . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191
input_slews_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192
lib_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193
lib_cell_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198
lib_pg_pin_info_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204
lib_pin_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205Chapter 1: Contents
1-ix
Contents
ix
PrimeTime® Suite Variables and Attributes Version K-2015.12-SP2
lib_timing_arc_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
library_mapping_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219
library_pg_file_pattern . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
link_allow_design_mismatch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223
link_create_black_boxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225
link_force_case . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226
link_keep_cells_with_pg_only_connection . . . . . . . . . . . . . . . . . . . . . . . . . . . 228
link_keep_unconnected_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229
link_library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230
link_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231
link_path_per_instance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232
lp_default_ground_pin_name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234
lp_default_power_pin_name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236
merge_model_allow_generated_clock_renaming . . . . . . . . . . . . . . . . . . . . . . 238
merge_model_ignore_pin_function_check . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
mis_coeff_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
mode_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242
model_validation_capacitance_tolerance . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243
model_validation_check_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244
model_validation_ignore_pass . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245
model_validation_output_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
model_validation_pba_clock_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247
model_validation_percent_tolerance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
model_validation_reanalyze_max_paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
model_validation_report_split . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
model_validation_save_session . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
model_validation_section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252
model_validation_significant_digits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253
model_validation_sort_by_worst . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
model_validation_timing_tolerance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255
model_validation_verbose . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256
multi_core_allow_overthreading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
multi_scenario_fault_handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261Contents
x
PrimeTime
®
Suite Variables and Attributes K-2015.12-SP2
PrimeTime
®
Suite Variables and Attributes Version K-2015.12-SP2
multi_scenario_merged_error_limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262
multi_scenario_merged_error_log . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
multi_scenario_message_verbosity_level . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
multi_scenario_working_directory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
mv_allow_pg_pin_reconnection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
mv_input_enforce_simple_names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
mw_design_library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
mw_logic0_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
mw_logic1_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270
net_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271
non_auto_fixable_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287
old_port_voltage_assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289
operating_conditions_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290
parasitic_corner_name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292
parasitics_cap_warning_threshold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294
parasitics_log_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295
parasitics_rejection_net_size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296
parasitics_res_warning_threshold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297
parasitics_warning_net_size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298
partition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299
path_group_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 300
pba_aocvm_only_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301
pba_derate_list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302
pba_derate_only_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
pba_enable_path_based_physical_exclusivity . . . . . . . . . . . . . . . . . . . . . . . . 306
pba_enable_xtalk_delay_ocv_pessimism_reduction . . . . . . . . . . . . . . . . . . . . 307
pba_exhaustive_endpoint_path_limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308
pba_path_mode_enumerate_by_gba_slack . . . . . . . . . . . . . . . . . . . . . . . . . . 310
pba_path_mode_sort_by_gba_slack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311
pba_path_recalculation_limit_compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . 312
pba_recalculate_full_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313
pg_pin_info_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315
pin_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316Chapter 1: Contents
1-xi
Contents
xi
PrimeTime® Suite Variables and Attributes Version K-2015.12-SP2
port_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
port_search_in_current_instance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382
power_analysis_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383
power_calc_use_ceff_for_internal_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385
power_capp_edge_triggered_propagation . . . . . . . . . . . . . . . . . . . . . . . . . . . 386
power_check_defaults . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 387
power_clock_network_include_clock_gating_network . . . . . . . . . . . . . . . . . . . 388
power_clock_network_include_register_clock_pin_power . . . . . . . . . . . . . . . 389
power_default_static_probability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390
power_default_toggle_rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 392
power_default_toggle_rate_reference_clock . . . . . . . . . . . . . . . . . . . . . . . . . . 394
power_disable_exact_name_match_to_hier_pin . . . . . . . . . . . . . . . . . . . . . . . 396
power_disable_exact_name_match_to_net . . . . . . . . . . . . . . . . . . . . . . . . . . . 397
power_domains_compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398
power_enable_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399
power_enable_clock_scaling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400
power_enable_feedthrough_in_empty_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . 401
power_enable_leakage_variation_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . 402
power_enable_multi_rail_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404
power_estimate_power_for_unmatched_event . . . . . . . . . . . . . . . . . . . . . . . . 405
power_full_transition_glitch_scaling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 406
power_include_initial_x_transitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 407
power_limit_extrapolation_range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 408
power_match_state_for_logic_x . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 409
power_model_preference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 410
power_rail_output_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411
power_rail_static_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 413
power_read_vcd_ignore_case . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414
power_report_leakage_breakdowns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 415
power_reset_negative_extrapolation_value . . . . . . . . . . . . . . . . . . . . . . . . . . . 416
power_reset_negative_internal_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417
power_scale_dynamic_power_at_power_off . . . . . . . . . . . . . . . . . . . . . . . . . . 418
power_scale_internal_arc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 420Contents
xii
PrimeTime
®
Suite Variables and Attributes K-2015.12-SP2
PrimeTime
®
Suite Variables and Attributes Version K-2015.12-SP2
power_table_include_switching_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 421
power_use_ccsp_pin_capacitance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422
power_x_transition_derate_factor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423
pt_ilm_dir . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424
pt_model_dir . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 425
pt_shell_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 426
pt_tmp_dir . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427
ptxr_root . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 428
query_objects_format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 430
rc_adjust_rd_when_less_than_rnet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431
rc_always_use_max_pin_cap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 433
rc_cache_min_max_rise_fall_ceff . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434
rc_ccs_extrapolation_range_compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436
rc_ceff_use_delay_reference_at_cpin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437
rc_degrade_min_slew_when_rd_less_than_rnet . . . . . . . . . . . . . . . . . . . . . . . 438
rc_degrade_wlm_net_slew_based_on_delay . . . . . . . . . . . . . . . . . . . . . . . . . 440
rc_driver_model_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441
rc_filter_rd_less_than_rnet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442
rc_rd_less_than_rnet_threshold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444
rc_receiver_model_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445
read_parasitics_load_locations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447
report_capacitance_use_ccs_receiver_model . . . . . . . . . . . . . . . . . . . . . . . . . 449
report_default_significant_digits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 450
scenario_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 451
sdc_save_source_file_information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 452
sdc_version . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455
sdc_write_unambiguous_names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 456
sdf_align_multi_drive_cell_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 458
sdf_align_multi_drive_cell_arcs_threshold . . . . . . . . . . . . . . . . . . . . . . . . . . . 459
sdf_enable_cond_start_end . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460
sdf_enable_port_construct . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461
sdf_enable_port_construct_threshold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 462
search_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 463Chapter 1: Contents
1-xiii
Contents
xiii
PrimeTime® Suite Variables and Attributes Version K-2015.12-SP2
sh_allow_tcl_with_set_app_var . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 464
sh_allow_tcl_with_set_app_var_no_message_list . . . . . . . . . . . . . . . . . . . . . 465
sh_arch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466
sh_command_abbrev_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467
sh_command_abbrev_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 468
sh_command_log_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469
sh_continue_on_error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 470
sh_deprecated_is_error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471
sh_dev_null . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472
sh_eco_enabled . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473
sh_enable_line_editing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474
sh_enable_page_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 476
sh_enable_stdout_redirect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 477
sh_enable_system_monitoring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478
sh_fast_analysis_mode_enabled . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
sh_help_shows_group_overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 480
sh_high_capacity_effort . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 481
sh_high_capacity_enabled . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482
sh_launch_dir . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483
sh_limited_messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484
sh_line_editing_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 486
sh_message_limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487
sh_new_variable_message . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489
sh_new_variable_message_in_proc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 490
sh_new_variable_message_in_script . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492
sh_obsolete_is_error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494
sh_output_log_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 495
sh_product_version . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496
sh_script_stop_severity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497
sh_source_emits_line_numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498
sh_source_logging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500
sh_source_uses_search_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501
sh_tcllib_app_dirname . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 502Contents
xiv
PrimeTime
®
Suite Variables and Attributes K-2015.12-SP2
PrimeTime
®
Suite Variables and Attributes Version K-2015.12-SP2
sh_user_man_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 503
si_aggressor_exclusion_setting_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . 504
si_analysis_logical_correlation_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506
si_ccs_aggressor_alignment_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 507
si_delay_analysis_setting_violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508
si_enable_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 510
si_enable_multi_input_switching_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . 511
si_enable_multi_input_switching_timing_window_filter . . . . . . . . . . . . . . . . . . 512
si_enable_multi_valued_coupling_capacitance . . . . . . . . . . . . . . . . . . . . . . . . 513
si_filter_accum_aggr_noise_peak_ratio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514
si_filter_keep_all_port_aggressors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 515
si_filter_per_aggr_noise_peak_ratio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516
si_ilm_keep_si_user_excluded_aggressors . . . . . . . . . . . . . . . . . . . . . . . . . . 517
si_noise_composite_aggr_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518
si_noise_endpoint_height_threshold_ratio . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519
si_noise_immunity_default_height_ratio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 520
si_noise_limit_propagation_ratio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521
si_noise_skip_update_for_report_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . 522
si_noise_slack_skip_disabled_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523
si_noise_update_status_level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525
si_use_driving_cell_derate_for_delta_delay . . . . . . . . . . . . . . . . . . . . . . . . . . 526
si_xtalk_composite_aggr_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527
si_xtalk_composite_aggr_noise_peak_ratio . . . . . . . . . . . . . . . . . . . . . . . . . . 528
si_xtalk_composite_aggr_quantile_high_pct . . . . . . . . . . . . . . . . . . . . . . . . . . 529
si_xtalk_delay_analysis_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530
si_xtalk_double_switching_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532
si_xtalk_exit_on_max_iteration_count . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 534
si_xtalk_exit_on_max_iteration_count_incr . . . . . . . . . . . . . . . . . . . . . . . . . . . 535
si_xtalk_max_transition_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536
svr_enable_vpp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 537
svr_keep_unconnected_nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 539
synopsys_program_name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 540
synopsys_root . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541Chapter 1: Contents
1-xv
Contents
xv
PrimeTime® Suite Variables and Attributes Version K-2015.12-SP2
tcl_interactive . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 542
tcl_library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543
tcl_pkgPath . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 544
timing_all_clocks_propagated . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 545
timing_allow_short_path_borrowing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 546
timing_aocvm_analysis_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 547
timing_aocvm_enable_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 549
timing_aocvm_enable_clock_network_only . . . . . . . . . . . . . . . . . . . . . . . . . . . 550
timing_aocvm_enable_single_path_metrics . . . . . . . . . . . . . . . . . . . . . . . . . . 551
timing_aocvm_ocv_precedence_compatibility . . . . . . . . . . . . . . . . . . . . . . . . . 552
timing_arc_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 553
timing_bidirectional_pin_max_transition_checks . . . . . . . . . . . . . . . . . . . . . . . 558
timing_calculation_across_broken_hierarchy_compatibility . . . . . . . . . . . . . . 559
timing_check_defaults . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 560
timing_clock_gating_check_fanout_compatibility . . . . . . . . . . . . . . . . . . . . . . 561
timing_clock_gating_propagate_enable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 562
timing_clock_reconvergence_pessimism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 563
timing_crpr_remove_clock_to_data_crp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 564
timing_crpr_remove_muxed_clock_crp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 565
timing_crpr_threshold_ps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 566
timing_disable_bus_contention_check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 567
timing_disable_clock_gating_checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 569
timing_disable_cond_default_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 570
timing_disable_floating_bus_check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 571
timing_disable_internal_inout_cell_paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . 573
timing_disable_internal_inout_net_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574
timing_disable_recovery_removal_checks . . . . . . . . . . . . . . . . . . . . . . . . . . . 575
timing_early_launch_at_borrowing_latches . . . . . . . . . . . . . . . . . . . . . . . . . . . 576
timing_enable_clock_propagation_through_preset_clear . . . . . . . . . . . . . . . . 578
timing_enable_clock_propagation_through_three_state_enable_pins . . . . . . 579
timing_enable_constraint_variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 580
timing_enable_cross_voltage_domain_analysis . . . . . . . . . . . . . . . . . . . . . . . 581
timing_enable_library_max_cap_lookup_table . . . . . . . . . . . . . . . . . . . . . . . . 582Contents
xvi
PrimeTime
®
Suite Variables and Attributes K-2015.12-SP2
PrimeTime
®
Suite Variables and Attributes Version K-2015.12-SP2
timing_enable_max_cap_precedence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 583
timing_enable_max_capacitance_set_case_analysis . . . . . . . . . . . . . . . . . . . 584
timing_enable_normalized_slack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 585
timing_enable_preset_clear_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 586
timing_enable_pulse_clock_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 587
timing_enable_slew_variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588
timing_enable_through_paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 589
timing_gclock_source_network_num_master_registers . . . . . . . . . . . . . . . . . 591
timing_ideal_clock_zero_default_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . 592
timing_include_available_borrow_in_slack . . . . . . . . . . . . . . . . . . . . . . . . . . . 593
timing_include_uncertainty_for_pulse_checks . . . . . . . . . . . . . . . . . . . . . . . . . 595
timing_input_port_default_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 596
timing_keep_loop_breaking_disabled_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . 597
timing_keep_waveform_on_points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 599
timing_lib_cell_derived_clock_name_compatibility . . . . . . . . . . . . . . . . . . . . . 600
timing_library_max_cap_from_lookup_table . . . . . . . . . . . . . . . . . . . . . . . . . . 601
timing_max_capacitance_derate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 602
timing_max_normalization_cycles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 603
timing_max_transition_derate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 604
timing_ocvm_enable_distance_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 605
timing_ocvm_precedence_compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 606
timing_path_arrival_required_attribute_include_clock_edge . . . . . . . . . . . . . . 608
timing_path_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609
timing_pocvm_corner_sigma . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619
timing_pocvm_enable_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 620
timing_pocvm_report_sigma . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621
timing_pocvm_use_normalized_reporting . . . . . . . . . . . . . . . . . . . . . . . . . . . . 622
timing_point_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 623
timing_prelayout_scaling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 627
timing_propagate_interclock_uncertainty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 628
timing_propagate_through_non_latch_d_pin_arcs . . . . . . . . . . . . . . . . . . . . . 630
timing_reduce_multi_drive_net_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 631
timing_reduce_multi_drive_net_arcs_threshold . . . . . . . . . . . . . . . . . . . . . . . . 633Chapter 1: Contents
1-xvii
Contents
xvii
PrimeTime® Suite Variables and Attributes Version K-2015.12-SP2
timing_reduce_parallel_cell_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 634
timing_remove_clock_reconvergence_pessimism . . . . . . . . . . . . . . . . . . . . . . 635
timing_report_always_use_valid_start_end_points . . . . . . . . . . . . . . . . . . . . . 637
timing_report_hyperscale_stub_pin_paths . . . . . . . . . . . . . . . . . . . . . . . . . . . 638
timing_report_maxpaths_nworst_reached . . . . . . . . . . . . . . . . . . . . . . . . . . . . 641
timing_report_recalculation_status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 642
timing_report_skip_early_paths_at_intermediate_latches . . . . . . . . . . . . . . . . 644
timing_report_status_level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 645
timing_report_unconstrained_paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 647
timing_report_union_tns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 648
timing_report_use_worst_parallel_cell_arc . . . . . . . . . . . . . . . . . . . . . . . . . . . 649
timing_save_block_level_reporting_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 650
timing_save_hyperscale_context_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 651
timing_save_hyperscale_model_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 652
timing_save_pin_arrival_and_required . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 653
timing_save_pin_arrival_and_slack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 654
timing_separate_hyperscale_side_inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . 655
timing_simultaneous_clock_data_port_compatibility . . . . . . . . . . . . . . . . . . . . 658
timing_single_edge_clock_gating_backward_compatibility . . . . . . . . . . . . . . . 660
timing_slew_threshold_scaling_for_max_transition_compatibility . . . . . . . . . . 661
timing_through_path_max_segments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 662
timing_update_effort . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 663
timing_update_status_level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 665
timing_use_constraint_derates_for_pulse_checks . . . . . . . . . . . . . . . . . . . . . 666
timing_use_zero_slew_for_annotated_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . 667
upf_allow_DD_primary_with_supply_sets . . . . . . . . . . . . . . . . . . . . . . . . . . . . 668
upf_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 669
upf_create_implicit_supply_sets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670
upf_name_map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 671
upf_power_domain_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 672
upf_power_switch_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 674
upf_power_switches_always_on . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 676
upf_supply_net_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 677Contents
xviii
PrimeTime
®
Suite Variables and Attributes K-2015.12-SP2
PrimeTime
®
Suite Variables and Attributes Version K-2015.12-SP2
upf_supply_port_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 679
upf_supply_set_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 680
upf_use_driver_receiver_for_io_voltages . . . . . . . . . . . . . . . . . . . . . . . . . . . . 681
upf_wscript_retain_object_name_scope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 682
variation_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 683
variation_derived_scalar_attribute_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . 685
variation_report_timing_increment_format . . . . . . . . . . . . . . . . . . . . . . . . . . . . 687
wildcards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 688
write_script_include_library_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 691
write_script_output_lumped_net_annotation . . . . . . . . . . . . . . . . . . . . . . . . . . 692Chapter 1:
aocv_metrics_violations
1-1
aocv_metrics_violations
1
PrimeTime® Suite Variables and Attributes Version K-2015.12-SP2
aocv_metrics_violations
This man page describes aocv_metrics hierarchical boundary check violations shown by
the report_constraint command in the HyperScale flow.
DESCRIPTION
An aocv_metrics violation indicates that the AOCV depth or distance metrics at the block
inputs or output ports and some other pins do not match with the top-level values.
WHAT NEXT
This is an auto_fixable violation. To fix an aocv_metrics violation, do one of the following:
• Change the AOCV metrics at the block port and pins appropriately.
• Allow for one more HyperScale iteration, during which the correct top-level AOCV

标签: time BLE and ITE PRI

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警