在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → Xilinx FPGA基于Verilog语言实现串口回传

Xilinx FPGA基于Verilog语言实现串口回传

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:6.78M
  • 下载次数:6
  • 浏览次数:35
  • 发布时间:2022-09-20
  • 实例类别:一般编程问题
  • 发 布 人:oiukujki85496
  • 文件格式:.rar
  • 所需积分:2
 相关标签: verilog XILINX FPGA

实例介绍

【实例简介】Xilinx FPGA基于Verilog语言实现串口回传

使用Xilinx的FPGA实现串口收发功能,FPGA收到上位机的数据并原数据返回给上位机。

【实例截图】

from clipboard

【核心代码】
.
├── 9_uart_loopback_top
│   ├── uart_loopback_top.cache
│   │   ├── compile_simlib
│   │   │   ├── activehdl
│   │   │   ├── ies
│   │   │   ├── modelsim
│   │   │   ├── modelsim_20210730_135401
│   │   │   ├── questa
│   │   │   ├── riviera
│   │   │   ├── vcs
│   │   │   └── xcelium
│   │   ├── ip
│   │   │   └── 2018.3
│   │   │       ├── 899641a833d8fb07
│   │   │       │   ├── 899641a833d8fb07.xci
│   │   │       │   ├── u_ila_0.dcp
│   │   │       │   ├── u_ila_0_sim_netlist.v
│   │   │       │   ├── u_ila_0_sim_netlist.vhdl
│   │   │       │   ├── u_ila_0_stub.v
│   │   │       │   └── u_ila_0_stub.vhdl
│   │   │       └── b5b762810d755dc6
│   │   │           ├── b5b762810d755dc6.xci
│   │   │           ├── dbg_hub.dcp
│   │   │           ├── dbg_hub_sim_netlist.v
│   │   │           ├── dbg_hub_sim_netlist.vhdl
│   │   │           ├── dbg_hub_stub.v
│   │   │           └── dbg_hub_stub.vhdl
│   │   └── wt
│   │       ├── gui_handlers.wdf
│   │       ├── java_command_handlers.wdf
│   │       ├── project.wpc
│   │       ├── synthesis.wdf
│   │       ├── synthesis_details.wdf
│   │       └── webtalk_pa.xml
│   ├── uart_loopback_top.hw
│   │   ├── backup
│   │   │   └── hw_ila_data_1.ila
│   │   ├── hw_1
│   │   │   ├── hw.xml
│   │   │   ├── layout
│   │   │   │   └── hw_ila_1.layout
│   │   │   └── wave
│   │   │       └── hw_ila_data_1
│   │   │           ├── hw_ila_data_1.wcfg
│   │   │           └── hw_ila_data_1.wdb
│   │   └── uart_loopback_top.lpr
│   ├── uart_loopback_top.ip_user_files
│   ├── uart_loopback_top.runs
│   │   ├── impl_1
│   │   │   ├── ISEWrap.js
│   │   │   ├── ISEWrap.sh
│   │   │   ├── debug_nets.ltx
│   │   │   ├── gen_run.xml
│   │   │   ├── htr.txt
│   │   │   ├── init_design.pb
│   │   │   ├── opt_design.pb
│   │   │   ├── place_design.pb
│   │   │   ├── project.wdf
│   │   │   ├── route_design.pb
│   │   │   ├── rundef.js
│   │   │   ├── runme.bat
│   │   │   ├── runme.log
│   │   │   ├── runme.sh
│   │   │   ├── uart_loopback_top.bit
│   │   │   ├── uart_loopback_top.dcp
│   │   │   ├── uart_loopback_top.ltx
│   │   │   ├── uart_loopback_top.tcl
│   │   │   ├── uart_loopback_top.vdi
│   │   │   ├── uart_loopback_top_bus_skew_routed.pb
│   │   │   ├── uart_loopback_top_bus_skew_routed.rpt
│   │   │   ├── uart_loopback_top_bus_skew_routed.rpx
│   │   │   ├── uart_loopback_top_clock_utilization_routed.rpt
│   │   │   ├── uart_loopback_top_control_sets_placed.rpt
│   │   │   ├── uart_loopback_top_drc_opted.pb
│   │   │   ├── uart_loopback_top_drc_opted.rpt
│   │   │   ├── uart_loopback_top_drc_opted.rpx
│   │   │   ├── uart_loopback_top_drc_routed.pb
│   │   │   ├── uart_loopback_top_drc_routed.rpt
│   │   │   ├── uart_loopback_top_drc_routed.rpx
│   │   │   ├── uart_loopback_top_io_placed.rpt
│   │   │   ├── uart_loopback_top_methodology_drc_routed.pb
│   │   │   ├── uart_loopback_top_methodology_drc_routed.rpt
│   │   │   ├── uart_loopback_top_methodology_drc_routed.rpx
│   │   │   ├── uart_loopback_top_opt.dcp
│   │   │   ├── uart_loopback_top_placed.dcp
│   │   │   ├── uart_loopback_top_power_routed.rpt
│   │   │   ├── uart_loopback_top_power_routed.rpx
│   │   │   ├── uart_loopback_top_power_summary_routed.pb
│   │   │   ├── uart_loopback_top_route_status.pb
│   │   │   ├── uart_loopback_top_route_status.rpt
│   │   │   ├── uart_loopback_top_routed.dcp
│   │   │   ├── uart_loopback_top_timing_summary_routed.pb
│   │   │   ├── uart_loopback_top_timing_summary_routed.rpt
│   │   │   ├── uart_loopback_top_timing_summary_routed.rpx
│   │   │   ├── uart_loopback_top_utilization_placed.pb
│   │   │   ├── uart_loopback_top_utilization_placed.rpt
│   │   │   ├── usage_statistics_webtalk.html
│   │   │   ├── usage_statistics_webtalk.xml
│   │   │   ├── vivado.jou
│   │   │   ├── vivado.pb
│   │   │   ├── vivado_11360.backup.jou
│   │   │   └── write_bitstream.pb
│   │   └── synth_1
│   │       ├── ISEWrap.js
│   │       ├── ISEWrap.sh
│   │       ├── __synthesis_is_complete__
│   │       ├── gen_run.xml
│   │       ├── htr.txt
│   │       ├── rundef.js
│   │       ├── runme.bat
│   │       ├── runme.log
│   │       ├── runme.sh
│   │       ├── uart_loopback_top.dcp
│   │       ├── uart_loopback_top.tcl
│   │       ├── uart_loopback_top.vds
│   │       ├── uart_loopback_top_utilization_synth.pb
│   │       ├── uart_loopback_top_utilization_synth.rpt
│   │       ├── vivado.jou
│   │       └── vivado.pb
│   ├── uart_loopback_top.sim
│   ├── uart_loopback_top.srcs
│   │   ├── constrs_1
│   │   │   └── new
│   │   │       └── Navigator.xdc
│   │   └── sources_1
│   │       └── new
│   │           ├── uart_loop.v
│   │           ├── uart_loopback_top.v
│   │           ├── uart_recv.v
│   │           └── uart_send.v
│   ├── uart_loopback_top.xpr
│   └── 说明.txt
└── Xilinx FPGA基于Verilog语言实现串口回传_9_uart_loopback_top.rar

32 directories, 101 files


标签: verilog XILINX FPGA

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警