在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 基于Zedboard的FPGA vga显示

基于Zedboard的FPGA vga显示

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:1.05M
  • 下载次数:5
  • 浏览次数:30
  • 发布时间:2022-09-09
  • 实例类别:一般编程问题
  • 发 布 人:纳爱斯
  • 文件格式:.rar
  • 所需积分:2
 相关标签: zynq FPGA VGA

实例介绍

【实例简介】基于Zedboard的FPGA vga显示


模拟产生图像数据,通过ZedBoard板的VGA显示,通过按键控制和LED灯指示显示不同图像

【实例截图】


【核心代码】

【核心代码】

.
├── pl_vga
│   ├── hs_err_pid15252.dmp
│   ├── hs_err_pid15252.log
│   ├── pl_vga.cache
│   │   ├── compile_simlib
│   │   │   ├── activehdl
│   │   │   ├── ies
│   │   │   ├── modelsim
│   │   │   ├── questa
│   │   │   ├── riviera
│   │   │   ├── vcs
│   │   │   └── xcelium
│   │   ├── ip
│   │   │   └── 2018.3
│   │   │       └── 36eca250dcfa45f6
│   │   │           ├── 36eca250dcfa45f6.xci
│   │   │           ├── clk_40m.dcp
│   │   │           ├── clk_40m_sim_netlist.v
│   │   │           ├── clk_40m_sim_netlist.vhdl
│   │   │           ├── clk_40m_stub.v
│   │   │           └── clk_40m_stub.vhdl
│   │   └── wt
│   │       ├── gui_handlers.wdf
│   │       ├── java_command_handlers.wdf
│   │       ├── project.wpc
│   │       ├── synthesis.wdf
│   │       ├── synthesis_details.wdf
│   │       └── webtalk_pa.xml
│   ├── pl_vga.hw
│   │   ├── hw_1
│   │   │   ├── hw.xml
│   │   │   └── wave
│   │   └── pl_vga.lpr
│   ├── pl_vga.ip_user_files
│   │   ├── README.txt
│   │   ├── ip
│   │   │   └── clk_40m
│   │   │       ├── clk_40m.veo
│   │   │       ├── clk_40m_stub.v
│   │   │       └── clk_40m_stub.vhdl
│   │   ├── ipstatic
│   │   │   ├── mmcm_pll_drp_func_7s_mmcm.vh
│   │   │   ├── mmcm_pll_drp_func_7s_pll.vh
│   │   │   ├── mmcm_pll_drp_func_us_mmcm.vh
│   │   │   ├── mmcm_pll_drp_func_us_pll.vh
│   │   │   ├── mmcm_pll_drp_func_us_plus_mmcm.vh
│   │   │   └── mmcm_pll_drp_func_us_plus_pll.vh
│   │   └── sim_scripts
│   │       └── clk_40m
│   │           ├── README.txt
│   │           ├── activehdl
│   │           │   ├── README.txt
│   │           │   ├── clk_40m.sh
│   │           │   ├── clk_40m.udo
│   │           │   ├── compile.do
│   │           │   ├── file_info.txt
│   │           │   ├── glbl.v
│   │           │   ├── simulate.do
│   │           │   └── wave.do
│   │           ├── ies
│   │           │   ├── README.txt
│   │           │   ├── clk_40m.sh
│   │           │   ├── file_info.txt
│   │           │   ├── glbl.v
│   │           │   └── run.f
│   │           ├── modelsim
│   │           │   ├── README.txt
│   │           │   ├── clk_40m.sh
│   │           │   ├── clk_40m.udo
│   │           │   ├── compile.do
│   │           │   ├── file_info.txt
│   │           │   ├── glbl.v
│   │           │   ├── simulate.do
│   │           │   └── wave.do
│   │           ├── questa
│   │           │   ├── README.txt
│   │           │   ├── clk_40m.sh
│   │           │   ├── clk_40m.udo
│   │           │   ├── compile.do
│   │           │   ├── elaborate.do
│   │           │   ├── file_info.txt
│   │           │   ├── glbl.v
│   │           │   ├── simulate.do
│   │           │   └── wave.do
│   │           ├── riviera
│   │           │   ├── README.txt
│   │           │   ├── clk_40m.sh
│   │           │   ├── clk_40m.udo
│   │           │   ├── compile.do
│   │           │   ├── file_info.txt
│   │           │   ├── glbl.v
│   │           │   ├── simulate.do
│   │           │   └── wave.do
│   │           ├── vcs
│   │           │   ├── README.txt
│   │           │   ├── clk_40m.sh
│   │           │   ├── file_info.txt
│   │           │   ├── glbl.v
│   │           │   └── simulate.do
│   │           ├── xcelium
│   │           │   ├── README.txt
│   │           │   ├── clk_40m.sh
│   │           │   ├── file_info.txt
│   │           │   ├── glbl.v
│   │           │   └── run.f
│   │           └── xsim
│   │               ├── README.txt
│   │               ├── clk_40m.sh
│   │               ├── cmd.tcl
│   │               ├── elab.opt
│   │               ├── file_info.txt
│   │               ├── glbl.v
│   │               ├── vlog.prj
│   │               └── xsim.ini
│   ├── pl_vga.runs
│   │   ├── clk_40m_synth_1
│   │   │   ├── ISEWrap.js
│   │   │   ├── ISEWrap.sh
│   │   │   ├── __synthesis_is_complete__
│   │   │   ├── clk_40m.dcp
│   │   │   ├── clk_40m.tcl
│   │   │   ├── clk_40m.vds
│   │   │   ├── clk_40m_utilization_synth.pb
│   │   │   ├── clk_40m_utilization_synth.rpt
│   │   │   ├── dont_touch.xdc
│   │   │   ├── gen_run.xml
│   │   │   ├── htr.txt
│   │   │   ├── project.wdf
│   │   │   ├── rundef.js
│   │   │   ├── runme.bat
│   │   │   ├── runme.log
│   │   │   ├── runme.sh
│   │   │   ├── vivado.jou
│   │   │   └── vivado.pb
│   │   ├── impl_1
│   │   │   ├── ISEWrap.js
│   │   │   ├── ISEWrap.sh
│   │   │   ├── gen_run.xml
│   │   │   ├── htr.txt
│   │   │   ├── init_design.pb
│   │   │   ├── opt_design.pb
│   │   │   ├── pl_vga_top.bit
│   │   │   ├── pl_vga_top.tcl
│   │   │   ├── pl_vga_top.vdi
│   │   │   ├── pl_vga_top_17896.backup.vdi
│   │   │   ├── pl_vga_top_bus_skew_routed.pb
│   │   │   ├── pl_vga_top_bus_skew_routed.rpt
│   │   │   ├── pl_vga_top_bus_skew_routed.rpx
│   │   │   ├── pl_vga_top_clock_utilization_routed.rpt
│   │   │   ├── pl_vga_top_control_sets_placed.rpt
│   │   │   ├── pl_vga_top_drc_opted.pb
│   │   │   ├── pl_vga_top_drc_opted.rpt
│   │   │   ├── pl_vga_top_drc_opted.rpx
│   │   │   ├── pl_vga_top_drc_routed.pb
│   │   │   ├── pl_vga_top_drc_routed.rpt
│   │   │   ├── pl_vga_top_drc_routed.rpx
│   │   │   ├── pl_vga_top_io_placed.rpt
│   │   │   ├── pl_vga_top_methodology_drc_routed.pb
│   │   │   ├── pl_vga_top_methodology_drc_routed.rpt
│   │   │   ├── pl_vga_top_methodology_drc_routed.rpx
│   │   │   ├── pl_vga_top_opt.dcp
│   │   │   ├── pl_vga_top_placed.dcp
│   │   │   ├── pl_vga_top_power_routed.rpt
│   │   │   ├── pl_vga_top_power_routed.rpx
│   │   │   ├── pl_vga_top_power_summary_routed.pb
│   │   │   ├── pl_vga_top_route_status.pb
│   │   │   ├── pl_vga_top_route_status.rpt
│   │   │   ├── pl_vga_top_routed.dcp
│   │   │   ├── pl_vga_top_timing_summary_routed.pb
│   │   │   ├── pl_vga_top_timing_summary_routed.rpt
│   │   │   ├── pl_vga_top_timing_summary_routed.rpx
│   │   │   ├── pl_vga_top_utilization_placed.pb
│   │   │   ├── pl_vga_top_utilization_placed.rpt
│   │   │   ├── place_design.pb
│   │   │   ├── project.wdf
│   │   │   ├── route_design.pb
│   │   │   ├── rundef.js
│   │   │   ├── runme.bat
│   │   │   ├── runme.log
│   │   │   ├── runme.sh
│   │   │   ├── usage_statistics_webtalk.html
│   │   │   ├── usage_statistics_webtalk.xml
│   │   │   ├── vivado.jou
│   │   │   ├── vivado.pb
│   │   │   ├── vivado_17896.backup.jou
│   │   │   └── write_bitstream.pb
│   │   └── synth_1
│   │       ├── ISEWrap.js
│   │       ├── ISEWrap.sh
│   │       ├── __synthesis_is_complete__
│   │       ├── gen_run.xml
│   │       ├── htr.txt
│   │       ├── pl_vga_top.dcp
│   │       ├── pl_vga_top.tcl
│   │       ├── pl_vga_top.vds
│   │       ├── pl_vga_top_utilization_synth.pb
│   │       ├── pl_vga_top_utilization_synth.rpt
│   │       ├── project.wdf
│   │       ├── rundef.js
│   │       ├── runme.bat
│   │       ├── runme.log
│   │       ├── runme.sh
│   │       ├── vivado.jou
│   │       └── vivado.pb
│   ├── pl_vga.sim
│   ├── pl_vga.srcs
│   │   ├── constrs_1
│   │   │   └── new
│   │   │       └── pl_vga.xdc
│   │   └── sources_1
│   │       ├── ip
│   │       │   └── clk_40m
│   │       │       ├── clk_40m.dcp
│   │       │       ├── clk_40m.v
│   │       │       ├── clk_40m.veo
│   │       │       ├── clk_40m.xci
│   │       │       ├── clk_40m.xdc
│   │       │       ├── clk_40m.xml
│   │       │       ├── clk_40m_board.xdc
│   │       │       ├── clk_40m_clk_wiz.v
│   │       │       ├── clk_40m_ooc.xdc
│   │       │       ├── clk_40m_sim_netlist.v
│   │       │       ├── clk_40m_sim_netlist.vhdl
│   │       │       ├── clk_40m_stub.v
│   │       │       ├── clk_40m_stub.vhdl
│   │       │       ├── doc
│   │       │       │   └── clk_wiz_v6_0_changelog.txt
│   │       │       ├── mmcm_pll_drp_func_7s_mmcm.vh
│   │       │       ├── mmcm_pll_drp_func_7s_pll.vh
│   │       │       ├── mmcm_pll_drp_func_us_mmcm.vh
│   │       │       ├── mmcm_pll_drp_func_us_pll.vh
│   │       │       ├── mmcm_pll_drp_func_us_plus_mmcm.vh
│   │       │       └── mmcm_pll_drp_func_us_plus_pll.vh
│   │       └── new
│   │           ├── pl_vga_top.v
│   │           └── vga_data_gen.v
│   ├── pl_vga.xpr
│   ├── vivado.jou
│   ├── vivado.log
│   ├── vivado_15252.backup.jou
│   ├── vivado_15252.backup.log
│   ├── vivado_2952.backup.jou
│   ├── vivado_2952.backup.log
│   ├── vivado_4040.backup.jou
│   ├── vivado_4040.backup.log
│   ├── vivado_8888.backup.jou
│   └── vivado_8888.backup.log
└── 基于Zedboard的FPGA vga显示.rar

44 directories, 204 files



标签: zynq FPGA VGA

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警