在好例子网,分享、交流、成长!
您当前所在位置:首页C/C++ 开发实例常规C/C++编程 → ad9361无操作系统驱动

ad9361无操作系统驱动

常规C/C++编程

下载此实例
  • 开发语言:C/C++
  • 实例大小:41.23M
  • 下载次数:5
  • 浏览次数:120
  • 发布时间:2022-05-20
  • 实例类别:常规C/C++编程
  • 发 布 人:Cqcyst1102
  • 文件格式:.zip
  • 所需积分:2

实例介绍

【实例简介】ad9361无操作系统驱动

ad9361无操作系统驱动 ,版本2019-r1

【实例截图】

from clipboard

【核心代码】

.
├── ad9361无操作系统驱动.zip
└── no-OS-2019_R1
    ├── AD6673-EBZ
    │   ├── cf_ad6673.c
    │   ├── cf_ad6673.h
    │   ├── main.c
    │   ├── readme.txt
    │   ├── spi.c
    │   └── spi.h
    ├── AD9250-EBZ
    │   ├── cf_ad9250.c
    │   ├── cf_ad9250.h
    │   ├── main.c
    │   ├── readme.txt
    │   ├── spi.c
    │   └── spi.h
    ├── LICENSE
    ├── LICENSE_ADI_BSD
    ├── Microchip
    │   └── PIC32MX320F128H
    │       ├── Common
    │       │   ├── Communication.c
    │       │   ├── Communication.h
    │       │   ├── Console.c
    │       │   ├── Console.h
    │       │   ├── Main.c
    │       │   ├── TIME.c
    │       │   └── TIME.h
    │       ├── PmodACL
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodACL2
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodAD1
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodAD2
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodAD3
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodAD4
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodAD5
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodAD6
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodCDC1
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodDA1
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodDA3
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodDA4
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodDA5
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodDPOT
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodGYRO2
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodIA
    │       │   ├── Command.c
    │       │   └── Command.h
    │       ├── PmodIOXP
    │       │   ├── Command.c
    │       │   └── Command.h
    │       └── PmodTMP2
    │           ├── Command.c
    │           └── Command.h
    ├── Pmods
    │   ├── Common
    │   │   └── sw
    │   │       ├── axi_interrupts.c
    │   │       ├── axi_interrupts.h
    │   │       ├── i2c_axi.c
    │   │       ├── i2c_axi.h
    │   │       ├── i2c_ps7.c
    │   │       ├── i2c_ps7.h
    │   │       ├── ps7_interrupts.c
    │   │       ├── ps7_interrupts.h
    │   │       ├── spi_axi.c
    │   │       ├── spi_axi.h
    │   │       ├── spi_ps7.c
    │   │       ├── spi_ps7.h
    │   │       ├── system_config.c
    │   │       └── system_config.h
    │   ├── PmodACL
    │   │   ├── ADXL345.c
    │   │   ├── ADXL345.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-acl.dts
    │   │   └── main.c
    │   ├── PmodACL2
    │   │   ├── ADXL362.c
    │   │   ├── ADXL362.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   └── main.c
    │   ├── PmodAD1
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── cf_ad7476_zed
    │   │   │   ├── SDK
    │   │   │   │   └── SDK_Export
    │   │   │   │       └── hw
    │   │   │   │           ├── docs
    │   │   │   │           │   └── ip
    │   │   │   │           │       ├── processing_system7.pdf
    │   │   │   │           │       └── util_vector_logic.pdf
    │   │   │   │           ├── imgs
    │   │   │   │           │   ├── IMG_LicensedCore.bmp
    │   │   │   │           │   ├── IMG_closeBranch.png
    │   │   │   │           │   ├── IMG_openBranch.png
    │   │   │   │           │   ├── axi_ad7476_0.jpg
    │   │   │   │           │   ├── axi_ad7476_0.svg
    │   │   │   │           │   ├── axi_clkgen_0.jpg
    │   │   │   │           │   ├── axi_clkgen_0.svg
    │   │   │   │           │   ├── axi_dma_0.jpg
    │   │   │   │           │   ├── axi_dma_0.svg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.jpg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.svg
    │   │   │   │           │   ├── axi_i2s_adi_0.jpg
    │   │   │   │           │   ├── axi_i2s_adi_0.svg
    │   │   │   │           │   ├── axi_iic_0.jpg
    │   │   │   │           │   ├── axi_iic_0.svg
    │   │   │   │           │   ├── axi_iic_1.jpg
    │   │   │   │           │   ├── axi_iic_1.svg
    │   │   │   │           │   ├── axi_interconnect_1.jpg
    │   │   │   │           │   ├── axi_interconnect_1.svg
    │   │   │   │           │   ├── axi_interconnect_2.jpg
    │   │   │   │           │   ├── axi_interconnect_2.svg
    │   │   │   │           │   ├── axi_interconnect_3.jpg
    │   │   │   │           │   ├── axi_interconnect_3.svg
    │   │   │   │           │   ├── axi_spdif_tx_0.jpg
    │   │   │   │           │   ├── axi_spdif_tx_0.svg
    │   │   │   │           │   ├── axi_vdma_0.jpg
    │   │   │   │           │   ├── axi_vdma_0.svg
    │   │   │   │           │   ├── clock_generator_0.jpg
    │   │   │   │           │   ├── clock_generator_0.svg
    │   │   │   │           │   ├── processing_system7_0.jpg
    │   │   │   │           │   ├── processing_system7_0.svg
    │   │   │   │           │   ├── system_blkd.jpg
    │   │   │   │           │   ├── system_blkd.svg
    │   │   │   │           │   ├── util_i2c_mixer_0.jpg
    │   │   │   │           │   ├── util_i2c_mixer_0.svg
    │   │   │   │           │   ├── util_vector_logic_0.jpg
    │   │   │   │           │   └── util_vector_logic_0.svg
    │   │   │   │           ├── ps7_init.c
    │   │   │   │           ├── ps7_init.h
    │   │   │   │           ├── ps7_init.html
    │   │   │   │           ├── ps7_init.tcl
    │   │   │   │           ├── system.bit
    │   │   │   │           ├── system.html
    │   │   │   │           ├── system.xml
    │   │   │   │           ├── system_main.html
    │   │   │   │           ├── system_mainNF.html
    │   │   │   │           └── system_toc.html
    │   │   │   ├── Version_Changes.log
    │   │   │   ├── __xps
    │   │   │   │   ├── bitinit.opt
    │   │   │   │   ├── edw2xtl_sav_globals.xsl
    │   │   │   │   ├── edw2xtl_sav_view.xsl
    │   │   │   │   ├── edw2xtl_sav_view_addr.xsl
    │   │   │   │   ├── edw2xtl_sav_view_busif.xsl
    │   │   │   │   ├── edw2xtl_sav_view_groups.xsl
    │   │   │   │   ├── edw2xtl_sav_view_port.xsl
    │   │   │   │   ├── gensav_cmd.xml
    │   │   │   │   ├── ise
    │   │   │   │   │   ├── system.xreport
    │   │   │   │   │   └── xmsgprops.lst
    │   │   │   │   ├── platgen.opt
    │   │   │   │   ├── simgen.opt
    │   │   │   │   ├── system.xml
    │   │   │   │   ├── system_routed
    │   │   │   │   ├── xplorer.opt
    │   │   │   │   └── xpsxflow.opt
    │   │   │   ├── clock_generator_0.log
    │   │   │   ├── data
    │   │   │   │   ├── ps7_constraints.ucf
    │   │   │   │   ├── ps7_constraints.xdc
    │   │   │   │   ├── ps7_system_prj.xml
    │   │   │   │   └── system.ucf
    │   │   │   ├── etc
    │   │   │   │   ├── bitgen.ut
    │   │   │   │   ├── download.cmd
    │   │   │   │   ├── fast_runtime.opt
    │   │   │   │   ├── system.filters
    │   │   │   │   └── system.gui
    │   │   │   ├── implementation
    │   │   │   │   └── system_summary.html
    │   │   │   ├── license.txt
    │   │   │   ├── platgen.opt
    │   │   │   ├── ps_clock_registers.log
    │   │   │   ├── psf2Edward.log
    │   │   │   ├── system.log
    │   │   │   ├── system.make
    │   │   │   ├── system.mhs
    │   │   │   ├── system.xmp
    │   │   │   ├── system_incl.make
    │   │   │   ├── system_log.14.4
    │   │   │   ├── system_log.14.5
    │   │   │   ├── system_xmp.14.4
    │   │   │   ├── system_xmp.14.5
    │   │   │   └── xdsgen.log
    │   │   ├── cf_lib
    │   │   │   └── edk
    │   │   │       └── pcores
    │   │   │           └── axi_ad7476_v1_00_a
    │   │   │               ├── data
    │   │   │               │   ├── axi_ad7476_v2_1_0.bbd
    │   │   │               │   ├── axi_ad7476_v2_1_0.mpd
    │   │   │               │   └── axi_ad7476_v2_1_0.pao
    │   │   │               ├── hdl
    │   │   │               │   └── verilog
    │   │   │               │       ├── axi_ad7476.v
    │   │   │               │       └── axi_ad7476_dev_if.v
    │   │   │               └── regmap.txt
    │   │   ├── dts
    │   │   │   ├── zynq-zed-adv7511-pmod-ad1-ipcore.dts
    │   │   │   └── zynq-zed-adv7511-pmod-ad1.dts
    │   │   └── main.c
    │   ├── PmodAD2
    │   │   ├── AD7991.c
    │   │   ├── AD7991.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   └── main.c
    │   ├── PmodAD3
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── cf_ad7780_zed
    │   │   │   ├── SDK
    │   │   │   │   └── SDK_Export
    │   │   │   │       └── hw
    │   │   │   │           ├── docs
    │   │   │   │           │   └── ip
    │   │   │   │           │       ├── processing_system7.pdf
    │   │   │   │           │       └── util_vector_logic.pdf
    │   │   │   │           ├── imgs
    │   │   │   │           │   ├── IMG_LicensedCore.bmp
    │   │   │   │           │   ├── IMG_closeBranch.png
    │   │   │   │           │   ├── IMG_openBranch.png
    │   │   │   │           │   ├── axi_ad7476_0.jpg
    │   │   │   │           │   ├── axi_ad7476_0.svg
    │   │   │   │           │   ├── axi_ad7780_0.jpg
    │   │   │   │           │   ├── axi_ad7780_0.svg
    │   │   │   │           │   ├── axi_clkgen_0.jpg
    │   │   │   │           │   ├── axi_clkgen_0.svg
    │   │   │   │           │   ├── axi_dma_0.jpg
    │   │   │   │           │   ├── axi_dma_0.svg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.jpg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.svg
    │   │   │   │           │   ├── axi_i2s_adi_0.jpg
    │   │   │   │           │   ├── axi_i2s_adi_0.svg
    │   │   │   │           │   ├── axi_iic_0.jpg
    │   │   │   │           │   ├── axi_iic_0.svg
    │   │   │   │           │   ├── axi_iic_1.jpg
    │   │   │   │           │   ├── axi_iic_1.svg
    │   │   │   │           │   ├── axi_interconnect_1.jpg
    │   │   │   │           │   ├── axi_interconnect_1.svg
    │   │   │   │           │   ├── axi_interconnect_2.jpg
    │   │   │   │           │   ├── axi_interconnect_2.svg
    │   │   │   │           │   ├── axi_interconnect_3.jpg
    │   │   │   │           │   ├── axi_interconnect_3.svg
    │   │   │   │           │   ├── axi_spdif_tx_0.jpg
    │   │   │   │           │   ├── axi_spdif_tx_0.svg
    │   │   │   │           │   ├── axi_vdma_0.jpg
    │   │   │   │           │   ├── axi_vdma_0.svg
    │   │   │   │           │   ├── clock_generator_0.jpg
    │   │   │   │           │   ├── clock_generator_0.svg
    │   │   │   │           │   ├── processing_system7_0.jpg
    │   │   │   │           │   ├── processing_system7_0.svg
    │   │   │   │           │   ├── system_blkd.jpg
    │   │   │   │           │   ├── system_blkd.svg
    │   │   │   │           │   ├── util_i2c_mixer_0.jpg
    │   │   │   │           │   ├── util_i2c_mixer_0.svg
    │   │   │   │           │   ├── util_vector_logic_0.jpg
    │   │   │   │           │   └── util_vector_logic_0.svg
    │   │   │   │           ├── ps7_init.c
    │   │   │   │           ├── ps7_init.h
    │   │   │   │           ├── ps7_init.html
    │   │   │   │           ├── ps7_init.tcl
    │   │   │   │           ├── system.bit
    │   │   │   │           ├── system.html
    │   │   │   │           ├── system.xml
    │   │   │   │           ├── system_main.html
    │   │   │   │           ├── system_mainNF.html
    │   │   │   │           └── system_toc.html
    │   │   │   ├── Version_Changes.log
    │   │   │   ├── __xps
    │   │   │   │   ├── bitinit.opt
    │   │   │   │   ├── edw2xtl_sav_globals.xsl
    │   │   │   │   ├── edw2xtl_sav_view.xsl
    │   │   │   │   ├── edw2xtl_sav_view_addr.xsl
    │   │   │   │   ├── edw2xtl_sav_view_busif.xsl
    │   │   │   │   ├── edw2xtl_sav_view_groups.xsl
    │   │   │   │   ├── edw2xtl_sav_view_port.xsl
    │   │   │   │   ├── gensav_cmd.xml
    │   │   │   │   ├── ise
    │   │   │   │   │   ├── system.xreport
    │   │   │   │   │   └── xmsgprops.lst
    │   │   │   │   ├── platgen.opt
    │   │   │   │   ├── simgen.opt
    │   │   │   │   ├── system.xml
    │   │   │   │   ├── system_routed
    │   │   │   │   ├── xplorer.opt
    │   │   │   │   └── xpsxflow.opt
    │   │   │   ├── clock_generator_0.log
    │   │   │   ├── data
    │   │   │   │   ├── ps7_constraints.ucf
    │   │   │   │   ├── ps7_constraints.xdc
    │   │   │   │   ├── ps7_system_prj.xml
    │   │   │   │   └── system.ucf
    │   │   │   ├── etc
    │   │   │   │   ├── bitgen.ut
    │   │   │   │   ├── download.cmd
    │   │   │   │   ├── fast_runtime.opt
    │   │   │   │   ├── system.filters
    │   │   │   │   └── system.gui
    │   │   │   ├── implementation
    │   │   │   │   └── system_summary.html
    │   │   │   ├── license.txt
    │   │   │   ├── platgen.opt
    │   │   │   ├── ps_clock_registers.log
    │   │   │   ├── psf2Edward.log
    │   │   │   ├── system.log
    │   │   │   ├── system.make
    │   │   │   ├── system.mhs
    │   │   │   ├── system.xmp
    │   │   │   ├── system_incl.make
    │   │   │   ├── system_log.14.4
    │   │   │   ├── system_log.14.5
    │   │   │   ├── system_xmp.14.4
    │   │   │   ├── system_xmp.14.5
    │   │   │   └── xdsgen.log
    │   │   ├── cf_lib
    │   │   │   └── edk
    │   │   │       └── pcores
    │   │   │           └── axi_ad7780_v1_00_a
    │   │   │               ├── data
    │   │   │               │   ├── axi_ad7780_v2_1_0.mpd
    │   │   │               │   └── axi_ad7780_v2_1_0.pao
    │   │   │               ├── hdl
    │   │   │               │   └── verilog
    │   │   │               │       ├── axi_ad7780.v
    │   │   │               │       └── axi_ad7780_dev_if.v
    │   │   │               └── regmap.txt
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-ad3-ipcore.dts
    │   │   └── main.c
    │   ├── PmodAD4
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── cf_ad7980_zed
    │   │   │   ├── SDK
    │   │   │   │   └── SDK_Export
    │   │   │   │       └── hw
    │   │   │   │           ├── docs
    │   │   │   │           │   └── ip
    │   │   │   │           │       ├── processing_system7.pdf
    │   │   │   │           │       └── util_vector_logic.pdf
    │   │   │   │           ├── imgs
    │   │   │   │           │   ├── IMG_LicensedCore.bmp
    │   │   │   │           │   ├── IMG_closeBranch.png
    │   │   │   │           │   ├── IMG_openBranch.png
    │   │   │   │           │   ├── axi_ad7476_0.jpg
    │   │   │   │           │   ├── axi_ad7476_0.svg
    │   │   │   │           │   ├── axi_ad7980_0.jpg
    │   │   │   │           │   ├── axi_ad7980_0.svg
    │   │   │   │           │   ├── axi_clkgen_0.jpg
    │   │   │   │           │   ├── axi_clkgen_0.svg
    │   │   │   │           │   ├── axi_dma_0.jpg
    │   │   │   │           │   ├── axi_dma_0.svg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.jpg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.svg
    │   │   │   │           │   ├── axi_i2s_adi_0.jpg
    │   │   │   │           │   ├── axi_i2s_adi_0.svg
    │   │   │   │           │   ├── axi_iic_0.jpg
    │   │   │   │           │   ├── axi_iic_0.svg
    │   │   │   │           │   ├── axi_iic_1.jpg
    │   │   │   │           │   ├── axi_iic_1.svg
    │   │   │   │           │   ├── axi_interconnect_1.jpg
    │   │   │   │           │   ├── axi_interconnect_1.svg
    │   │   │   │           │   ├── axi_interconnect_2.jpg
    │   │   │   │           │   ├── axi_interconnect_2.svg
    │   │   │   │           │   ├── axi_interconnect_3.jpg
    │   │   │   │           │   ├── axi_interconnect_3.svg
    │   │   │   │           │   ├── axi_spdif_tx_0.jpg
    │   │   │   │           │   ├── axi_spdif_tx_0.svg
    │   │   │   │           │   ├── axi_vdma_0.jpg
    │   │   │   │           │   ├── axi_vdma_0.svg
    │   │   │   │           │   ├── clock_generator_0.jpg
    │   │   │   │           │   ├── clock_generator_0.svg
    │   │   │   │           │   ├── processing_system7_0.jpg
    │   │   │   │           │   ├── processing_system7_0.svg
    │   │   │   │           │   ├── system_blkd.jpg
    │   │   │   │           │   ├── system_blkd.svg
    │   │   │   │           │   ├── util_i2c_mixer_0.jpg
    │   │   │   │           │   ├── util_i2c_mixer_0.svg
    │   │   │   │           │   ├── util_vector_logic_0.jpg
    │   │   │   │           │   └── util_vector_logic_0.svg
    │   │   │   │           ├── ps7_init.c
    │   │   │   │           ├── ps7_init.h
    │   │   │   │           ├── ps7_init.html
    │   │   │   │           ├── ps7_init.tcl
    │   │   │   │           ├── system.bit
    │   │   │   │           ├── system.html
    │   │   │   │           ├── system.xml
    │   │   │   │           ├── system_main.html
    │   │   │   │           ├── system_mainNF.html
    │   │   │   │           └── system_toc.html
    │   │   │   ├── Version_Changes.log
    │   │   │   ├── __xps
    │   │   │   │   ├── bitinit.opt
    │   │   │   │   ├── edw2xtl_sav_globals.xsl
    │   │   │   │   ├── edw2xtl_sav_view.xsl
    │   │   │   │   ├── edw2xtl_sav_view_addr.xsl
    │   │   │   │   ├── edw2xtl_sav_view_busif.xsl
    │   │   │   │   ├── edw2xtl_sav_view_groups.xsl
    │   │   │   │   ├── edw2xtl_sav_view_port.xsl
    │   │   │   │   ├── gensav_cmd.xml
    │   │   │   │   ├── ise
    │   │   │   │   │   ├── system.xreport
    │   │   │   │   │   └── xmsgprops.lst
    │   │   │   │   ├── platgen.opt
    │   │   │   │   ├── simgen.opt
    │   │   │   │   ├── system.xml
    │   │   │   │   ├── system_routed
    │   │   │   │   ├── xplorer.opt
    │   │   │   │   └── xpsxflow.opt
    │   │   │   ├── clock_generator_0.log
    │   │   │   ├── data
    │   │   │   │   ├── ps7_constraints.ucf
    │   │   │   │   ├── ps7_constraints.xdc
    │   │   │   │   ├── ps7_system_prj.xml
    │   │   │   │   └── system.ucf
    │   │   │   ├── etc
    │   │   │   │   ├── bitgen.ut
    │   │   │   │   ├── download.cmd
    │   │   │   │   ├── fast_runtime.opt
    │   │   │   │   ├── system.filters
    │   │   │   │   └── system.gui
    │   │   │   ├── implementation
    │   │   │   │   └── system_summary.html
    │   │   │   ├── license.txt
    │   │   │   ├── platgen.opt
    │   │   │   ├── ps_clock_registers.log
    │   │   │   ├── psf2Edward.log
    │   │   │   ├── system.log
    │   │   │   ├── system.log.bak
    │   │   │   ├── system.make
    │   │   │   ├── system.mhs
    │   │   │   ├── system.xmp
    │   │   │   ├── system_incl.make
    │   │   │   ├── system_log.14.4
    │   │   │   ├── system_log.14.5
    │   │   │   ├── system_xmp.14.4
    │   │   │   ├── system_xmp.14.5
    │   │   │   └── xdsgen.log
    │   │   ├── cf_lib
    │   │   │   └── edk
    │   │   │       └── pcores
    │   │   │           └── axi_ad7980_v1_00_a
    │   │   │               ├── data
    │   │   │               │   ├── axi_ad7980_v2_1_0.mpd
    │   │   │               │   └── axi_ad7980_v2_1_0.pao
    │   │   │               ├── hdl
    │   │   │               │   └── verilog
    │   │   │               │       ├── axi_ad7980.v
    │   │   │               │       └── axi_ad7980_dev_if.v
    │   │   │               └── regmap.txt
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-ad4-ipcore.dts
    │   │   └── main.c
    │   ├── PmodAD5
    │   │   ├── AD7193.c
    │   │   ├── AD7193.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   └── main.c
    │   ├── PmodAD6
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── cf_ad7091r_zed
    │   │   │   ├── SDK
    │   │   │   │   └── SDK_Export
    │   │   │   │       └── hw
    │   │   │   │           ├── docs
    │   │   │   │           │   └── ip
    │   │   │   │           │       ├── processing_system7.pdf
    │   │   │   │           │       └── util_vector_logic.pdf
    │   │   │   │           ├── imgs
    │   │   │   │           │   ├── IMG_LicensedCore.bmp
    │   │   │   │           │   ├── IMG_closeBranch.png
    │   │   │   │           │   ├── IMG_openBranch.png
    │   │   │   │           │   ├── axi_ad7091r_0.jpg
    │   │   │   │           │   ├── axi_ad7091r_0.svg
    │   │   │   │           │   ├── axi_ad7476_0.jpg
    │   │   │   │           │   ├── axi_ad7476_0.svg
    │   │   │   │           │   ├── axi_ad7980_0.jpg
    │   │   │   │           │   ├── axi_ad7980_0.svg
    │   │   │   │           │   ├── axi_clkgen_0.jpg
    │   │   │   │           │   ├── axi_clkgen_0.svg
    │   │   │   │           │   ├── axi_dma_0.jpg
    │   │   │   │           │   ├── axi_dma_0.svg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.jpg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.svg
    │   │   │   │           │   ├── axi_i2s_adi_0.jpg
    │   │   │   │           │   ├── axi_i2s_adi_0.svg
    │   │   │   │           │   ├── axi_iic_0.jpg
    │   │   │   │           │   ├── axi_iic_0.svg
    │   │   │   │           │   ├── axi_iic_1.jpg
    │   │   │   │           │   ├── axi_iic_1.svg
    │   │   │   │           │   ├── axi_interconnect_1.jpg
    │   │   │   │           │   ├── axi_interconnect_1.svg
    │   │   │   │           │   ├── axi_interconnect_2.jpg
    │   │   │   │           │   ├── axi_interconnect_2.svg
    │   │   │   │           │   ├── axi_interconnect_3.jpg
    │   │   │   │           │   ├── axi_interconnect_3.svg
    │   │   │   │           │   ├── axi_spdif_tx_0.jpg
    │   │   │   │           │   ├── axi_spdif_tx_0.svg
    │   │   │   │           │   ├── axi_vdma_0.jpg
    │   │   │   │           │   ├── axi_vdma_0.svg
    │   │   │   │           │   ├── clock_generator_0.jpg
    │   │   │   │           │   ├── clock_generator_0.svg
    │   │   │   │           │   ├── processing_system7_0.jpg
    │   │   │   │           │   ├── processing_system7_0.svg
    │   │   │   │           │   ├── system_blkd.jpg
    │   │   │   │           │   ├── system_blkd.svg
    │   │   │   │           │   ├── util_i2c_mixer_0.jpg
    │   │   │   │           │   ├── util_i2c_mixer_0.svg
    │   │   │   │           │   ├── util_vector_logic_0.jpg
    │   │   │   │           │   └── util_vector_logic_0.svg
    │   │   │   │           ├── ps7_init.c
    │   │   │   │           ├── ps7_init.h
    │   │   │   │           ├── ps7_init.html
    │   │   │   │           ├── ps7_init.tcl
    │   │   │   │           ├── system.bit
    │   │   │   │           ├── system.html
    │   │   │   │           ├── system.xml
    │   │   │   │           ├── system_main.html
    │   │   │   │           ├── system_mainNF.html
    │   │   │   │           └── system_toc.html
    │   │   │   ├── Version_Changes.log
    │   │   │   ├── __xps
    │   │   │   │   ├── bitinit.opt
    │   │   │   │   ├── edw2xtl_sav_globals.xsl
    │   │   │   │   ├── edw2xtl_sav_view.xsl
    │   │   │   │   ├── edw2xtl_sav_view_addr.xsl
    │   │   │   │   ├── edw2xtl_sav_view_busif.xsl
    │   │   │   │   ├── edw2xtl_sav_view_groups.xsl
    │   │   │   │   ├── edw2xtl_sav_view_port.xsl
    │   │   │   │   ├── gensav_cmd.xml
    │   │   │   │   ├── ise
    │   │   │   │   │   ├── system.xreport
    │   │   │   │   │   └── xmsgprops.lst
    │   │   │   │   ├── platgen.opt
    │   │   │   │   ├── simgen.opt
    │   │   │   │   ├── system.xml
    │   │   │   │   ├── system_routed
    │   │   │   │   ├── xplorer.opt
    │   │   │   │   └── xpsxflow.opt
    │   │   │   ├── clock_generator_0.log
    │   │   │   ├── data
    │   │   │   │   ├── ps7_constraints.ucf
    │   │   │   │   ├── ps7_constraints.xdc
    │   │   │   │   ├── ps7_system_prj.xml
    │   │   │   │   └── system.ucf
    │   │   │   ├── etc
    │   │   │   │   ├── bitgen.ut
    │   │   │   │   ├── download.cmd
    │   │   │   │   ├── fast_runtime.opt
    │   │   │   │   ├── system.filters
    │   │   │   │   └── system.gui
    │   │   │   ├── implementation
    │   │   │   │   └── system_summary.html
    │   │   │   ├── license.txt
    │   │   │   ├── platgen.opt
    │   │   │   ├── ps_clock_registers.log
    │   │   │   ├── psf2Edward.log
    │   │   │   ├── system.log
    │   │   │   ├── system.log.bak
    │   │   │   ├── system.make
    │   │   │   ├── system.mhs
    │   │   │   ├── system.xmp
    │   │   │   ├── system_incl.make
    │   │   │   ├── system_log.14.4
    │   │   │   ├── system_log.14.5
    │   │   │   ├── system_xmp.14.4
    │   │   │   ├── system_xmp.14.5
    │   │   │   └── xdsgen.log
    │   │   ├── cf_lib
    │   │   │   └── edk
    │   │   │       └── pcores
    │   │   │           └── axi_ad7091r_v1_00_a
    │   │   │               ├── data
    │   │   │               │   ├── axi_ad7091r_v2_1_0.mpd
    │   │   │               │   └── axi_ad7091r_v2_1_0.pao
    │   │   │               ├── hdl
    │   │   │               │   └── verilog
    │   │   │               │       ├── axi_ad7091r.v
    │   │   │               │       └── axi_ad7091r_dev_if.v
    │   │   │               └── regmap.txt
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-ad6-ipcore.dts
    │   │   └── main.c
    │   ├── PmodAMP3
    │   │   ├── audio_data.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── cf_lib
    │   │   │   └── edk
    │   │   │       └── pcores
    │   │   │           └── axi_ssm2518_v1_00_a
    │   │   │               ├── data
    │   │   │               │   ├── axi_ssm2518_v2_1_0.bbd
    │   │   │               │   ├── axi_ssm2518_v2_1_0.mpd
    │   │   │               │   └── axi_ssm2518_v2_1_0.pao
    │   │   │               └── hdl
    │   │   │                   └── verilog
    │   │   │                       ├── axi_ssm2518.v
    │   │   │                       └── axi_ssm2518_dev_if.v
    │   │   ├── cf_ssm2518_zed
    │   │   │   ├── SDK
    │   │   │   │   └── SDK_Export
    │   │   │   │       └── hw
    │   │   │   │           ├── docs
    │   │   │   │           │   └── ip
    │   │   │   │           │       ├── processing_system7.pdf
    │   │   │   │           │       └── util_vector_logic.pdf
    │   │   │   │           ├── imgs
    │   │   │   │           │   ├── IMG_LicensedCore.bmp
    │   │   │   │           │   ├── IMG_closeBranch.png
    │   │   │   │           │   ├── IMG_openBranch.png
    │   │   │   │           │   ├── axi_clkgen_0.jpg
    │   │   │   │           │   ├── axi_clkgen_0.svg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.jpg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.svg
    │   │   │   │           │   ├── axi_i2s_adi_0.jpg
    │   │   │   │           │   ├── axi_i2s_adi_0.svg
    │   │   │   │           │   ├── axi_iic_0.jpg
    │   │   │   │           │   ├── axi_iic_0.svg
    │   │   │   │           │   ├── axi_iic_1.jpg
    │   │   │   │           │   ├── axi_iic_1.svg
    │   │   │   │           │   ├── axi_interconnect_1.jpg
    │   │   │   │           │   ├── axi_interconnect_1.svg
    │   │   │   │           │   ├── axi_interconnect_2.jpg
    │   │   │   │           │   ├── axi_interconnect_2.svg
    │   │   │   │           │   ├── axi_interconnect_3.jpg
    │   │   │   │           │   ├── axi_interconnect_3.svg
    │   │   │   │           │   ├── axi_spdif_tx_0.jpg
    │   │   │   │           │   ├── axi_spdif_tx_0.svg
    │   │   │   │           │   ├── axi_ssm2518_0.jpg
    │   │   │   │           │   ├── axi_ssm2518_0.svg
    │   │   │   │           │   ├── axi_vdma_0.jpg
    │   │   │   │           │   ├── axi_vdma_0.svg
    │   │   │   │           │   ├── axi_vdma_1.jpg
    │   │   │   │           │   ├── axi_vdma_1.svg
    │   │   │   │           │   ├── clock_generator_0.jpg
    │   │   │   │           │   ├── clock_generator_0.svg
    │   │   │   │           │   ├── processing_system7_0.jpg
    │   │   │   │           │   ├── processing_system7_0.svg
    │   │   │   │           │   ├── system_blkd.jpg
    │   │   │   │           │   ├── system_blkd.svg
    │   │   │   │           │   ├── util_i2c_mixer_0.jpg
    │   │   │   │           │   ├── util_i2c_mixer_0.svg
    │   │   │   │           │   ├── util_vector_logic_0.jpg
    │   │   │   │           │   └── util_vector_logic_0.svg
    │   │   │   │           ├── ps7_init.c
    │   │   │   │           ├── ps7_init.h
    │   │   │   │           ├── ps7_init.html
    │   │   │   │           ├── ps7_init.tcl
    │   │   │   │           ├── system.bit
    │   │   │   │           ├── system.html
    │   │   │   │           ├── system.xml
    │   │   │   │           ├── system_main.html
    │   │   │   │           ├── system_mainNF.html
    │   │   │   │           └── system_toc.html
    │   │   │   ├── Version_Changes.log
    │   │   │   ├── __xps
    │   │   │   │   ├── bitinit.opt
    │   │   │   │   ├── edw2xtl_sav_globals.xsl
    │   │   │   │   ├── edw2xtl_sav_view.xsl
    │   │   │   │   ├── edw2xtl_sav_view_addr.xsl
    │   │   │   │   ├── edw2xtl_sav_view_busif.xsl
    │   │   │   │   ├── edw2xtl_sav_view_groups.xsl
    │   │   │   │   ├── edw2xtl_sav_view_port.xsl
    │   │   │   │   ├── gensav_cmd.xml
    │   │   │   │   ├── ise
    │   │   │   │   │   ├── system.xreport
    │   │   │   │   │   └── xmsgprops.lst
    │   │   │   │   ├── platgen.opt
    │   │   │   │   ├── simgen.opt
    │   │   │   │   ├── system.xml
    │   │   │   │   ├── system_routed
    │   │   │   │   ├── xplorer.opt
    │   │   │   │   └── xpsxflow.opt
    │   │   │   ├── clock_generator_0.log
    │   │   │   ├── data
    │   │   │   │   ├── ps7_constraints.ucf
    │   │   │   │   ├── ps7_constraints.xdc
    │   │   │   │   ├── ps7_system_prj.xml
    │   │   │   │   └── system.ucf
    │   │   │   ├── etc
    │   │   │   │   ├── bitgen.ut
    │   │   │   │   ├── download.cmd
    │   │   │   │   ├── fast_runtime.opt
    │   │   │   │   ├── system.filters
    │   │   │   │   └── system.gui
    │   │   │   ├── implementation
    │   │   │   │   └── system_summary.html
    │   │   │   ├── license.txt
    │   │   │   ├── platgen.opt
    │   │   │   ├── ps_clock_registers.log
    │   │   │   ├── psf2Edward.log
    │   │   │   ├── sw
    │   │   │   │   ├── cf_adv7511_zed.bit
    │   │   │   │   ├── cf_adv7511_zed.c
    │   │   │   │   ├── cf_adv7511_zed.elf
    │   │   │   │   ├── cf_adv7511_zed.h
    │   │   │   │   ├── cf_adv7511_zed.tcl
    │   │   │   │   └── ps7_init.tcl
    │   │   │   ├── system.log
    │   │   │   ├── system.make
    │   │   │   ├── system.mhs
    │   │   │   ├── system.xmp
    │   │   │   ├── system_incl.make
    │   │   │   ├── system_log.14.4
    │   │   │   ├── system_log.14.5
    │   │   │   ├── system_xmp.14.4
    │   │   │   ├── system_xmp.14.5
    │   │   │   └── xdsgen.log
    │   │   ├── main.c
    │   │   ├── ssm2518.c
    │   │   └── ssm2518.h
    │   ├── PmodCDC1
    │   │   ├── AD7156.c
    │   │   ├── AD7156.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-cdc1.dts
    │   │   └── main.c
    │   ├── PmodDA1
    │   │   ├── AD7303.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── cf_ad7303_zed
    │   │   │   ├── SDK
    │   │   │   │   └── SDK_Export
    │   │   │   │       └── hw
    │   │   │   │           ├── docs
    │   │   │   │           │   └── ip
    │   │   │   │           │       ├── processing_system7.pdf
    │   │   │   │           │       └── util_vector_logic.pdf
    │   │   │   │           ├── imgs
    │   │   │   │           │   ├── IMG_LicensedCore.bmp
    │   │   │   │           │   ├── IMG_closeBranch.png
    │   │   │   │           │   ├── IMG_openBranch.png
    │   │   │   │           │   ├── axi_ad7303_0.jpg
    │   │   │   │           │   ├── axi_ad7303_0.svg
    │   │   │   │           │   ├── axi_clkgen_0.jpg
    │   │   │   │           │   ├── axi_clkgen_0.svg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.jpg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.svg
    │   │   │   │           │   ├── axi_i2s_adi_0.jpg
    │   │   │   │           │   ├── axi_i2s_adi_0.svg
    │   │   │   │           │   ├── axi_iic_0.jpg
    │   │   │   │           │   ├── axi_iic_0.svg
    │   │   │   │           │   ├── axi_iic_1.jpg
    │   │   │   │           │   ├── axi_iic_1.svg
    │   │   │   │           │   ├── axi_interconnect_1.jpg
    │   │   │   │           │   ├── axi_interconnect_1.svg
    │   │   │   │           │   ├── axi_interconnect_2.jpg
    │   │   │   │           │   ├── axi_interconnect_2.svg
    │   │   │   │           │   ├── axi_interconnect_3.jpg
    │   │   │   │           │   ├── axi_interconnect_3.svg
    │   │   │   │           │   ├── axi_spdif_tx_0.jpg
    │   │   │   │           │   ├── axi_spdif_tx_0.svg
    │   │   │   │           │   ├── axi_ssm2518_0.jpg
    │   │   │   │           │   ├── axi_ssm2518_0.svg
    │   │   │   │           │   ├── axi_vdma_0.jpg
    │   │   │   │           │   ├── axi_vdma_0.svg
    │   │   │   │           │   ├── axi_vdma_1.jpg
    │   │   │   │           │   ├── axi_vdma_1.svg
    │   │   │   │           │   ├── clock_generator_0.jpg
    │   │   │   │           │   ├── clock_generator_0.svg
    │   │   │   │           │   ├── processing_system7_0.jpg
    │   │   │   │           │   ├── processing_system7_0.svg
    │   │   │   │           │   ├── system_blkd.jpg
    │   │   │   │           │   ├── system_blkd.svg
    │   │   │   │           │   ├── util_i2c_mixer_0.jpg
    │   │   │   │           │   ├── util_i2c_mixer_0.svg
    │   │   │   │           │   ├── util_vector_logic_0.jpg
    │   │   │   │           │   └── util_vector_logic_0.svg
    │   │   │   │           ├── ps7_init.c
    │   │   │   │           ├── ps7_init.h
    │   │   │   │           ├── ps7_init.html
    │   │   │   │           ├── ps7_init.tcl
    │   │   │   │           ├── system.bit
    │   │   │   │           ├── system.html
    │   │   │   │           ├── system.xml
    │   │   │   │           ├── system_main.html
    │   │   │   │           ├── system_mainNF.html
    │   │   │   │           └── system_toc.html
    │   │   │   ├── Version_Changes.log
    │   │   │   ├── __xps
    │   │   │   │   ├── bitinit.opt
    │   │   │   │   ├── edw2xtl_sav_globals.xsl
    │   │   │   │   ├── edw2xtl_sav_view.xsl
    │   │   │   │   ├── edw2xtl_sav_view_addr.xsl
    │   │   │   │   ├── edw2xtl_sav_view_busif.xsl
    │   │   │   │   ├── edw2xtl_sav_view_groups.xsl
    │   │   │   │   ├── edw2xtl_sav_view_port.xsl
    │   │   │   │   ├── gensav_cmd.xml
    │   │   │   │   ├── ise
    │   │   │   │   │   ├── system.xreport
    │   │   │   │   │   └── xmsgprops.lst
    │   │   │   │   ├── platgen.opt
    │   │   │   │   ├── simgen.opt
    │   │   │   │   ├── system.xml
    │   │   │   │   ├── system_routed
    │   │   │   │   ├── xplorer.opt
    │   │   │   │   └── xpsxflow.opt
    │   │   │   ├── clock_generator_0.log
    │   │   │   ├── data
    │   │   │   │   ├── ps7_constraints.ucf
    │   │   │   │   ├── ps7_constraints.xdc
    │   │   │   │   ├── ps7_system_prj.xml
    │   │   │   │   └── system.ucf
    │   │   │   ├── etc
    │   │   │   │   ├── bitgen.ut
    │   │   │   │   ├── download.cmd
    │   │   │   │   ├── fast_runtime.opt
    │   │   │   │   ├── system.filters
    │   │   │   │   └── system.gui
    │   │   │   ├── implementation
    │   │   │   │   └── system_summary.html
    │   │   │   ├── license.txt
    │   │   │   ├── platgen.opt
    │   │   │   ├── ps_clock_registers.log
    │   │   │   ├── psf2Edward.log
    │   │   │   ├── system.log
    │   │   │   ├── system.log.bak
    │   │   │   ├── system.make
    │   │   │   ├── system.mhs
    │   │   │   ├── system.xmp
    │   │   │   ├── system_incl.make
    │   │   │   ├── system_log.14.4
    │   │   │   ├── system_log.14.5
    │   │   │   ├── system_xmp.14.4
    │   │   │   ├── system_xmp.14.5
    │   │   │   └── xdsgen.log
    │   │   ├── cf_lib
    │   │   │   └── edk
    │   │   │       └── pcores
    │   │   │           └── axi_ad7303_v1_00_a
    │   │   │               ├── data
    │   │   │               │   ├── axi_ad7303_v2_1_0.mpd
    │   │   │               │   └── axi_ad7303_v2_1_0.pao
    │   │   │               └── hdl
    │   │   │                   └── verilog
    │   │   │                       ├── axi_ad7303.v
    │   │   │                       └── axi_ad7303_dev_if.v
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-da1.dts
    │   │   └── main.c
    │   ├── PmodDA3
    │   │   ├── AD5541A.c
    │   │   ├── AD5541A.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-da3.dts
    │   │   └── main.c
    │   ├── PmodDA4
    │   │   ├── AD5628.c
    │   │   ├── AD5628.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-da4.dts
    │   │   └── main.c
    │   ├── PmodDA5
    │   │   ├── AD5781.c
    │   │   ├── AD5781.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-da5.dts
    │   │   └── main.c
    │   ├── PmodDPOT
    │   │   ├── AD5160.c
    │   │   ├── AD5160.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-dpot.dts
    │   │   └── main.c
    │   ├── PmodGYRO2
    │   │   ├── ADXRS453.c
    │   │   ├── ADXRS453.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-gyro2.dts
    │   │   └── main.c
    │   ├── PmodIA
    │   │   ├── AD5933.c
    │   │   ├── AD5933.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── dts
    │   │   │   └── zynq-zed-adv7511-pmod-ia.dts
    │   │   └── main.c
    │   ├── PmodIOXP
    │   │   ├── ADP5589.c
    │   │   ├── ADP5589.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   └── main.c
    │   ├── PmodMIC2
    │   │   ├── admp441.c
    │   │   ├── admp441.h
    │   │   ├── bin
    │   │   │   ├── ADIEvalBoard.bit
    │   │   │   ├── ADIEvalBoard.elf
    │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   ├── download.bat
    │   │   │   └── ps7_init.tcl
    │   │   ├── cf_admp441_zed
    │   │   │   ├── DataCapture
    │   │   │   │   ├── ADIEvalBoard.bit
    │   │   │   │   ├── ADIEvalBoard.elf
    │   │   │   │   ├── ADIEvalBoard.tcl
    │   │   │   │   ├── EVAL_ADMP441Z_Demo.wav
    │   │   │   │   ├── EVAL_ADMP441Z_Demo_MicA.wav
    │   │   │   │   ├── download.bat
    │   │   │   │   └── ps7_init.tcl
    │   │   │   ├── SDK
    │   │   │   │   └── SDK_Export
    │   │   │   │       └── hw
    │   │   │   │           ├── docs
    │   │   │   │           │   └── ip
    │   │   │   │           │       ├── processing_system7.pdf
    │   │   │   │           │       └── util_vector_logic.pdf
    │   │   │   │           ├── imgs
    │   │   │   │           │   ├── IMG_LicensedCore.bmp
    │   │   │   │           │   ├── IMG_closeBranch.png
    │   │   │   │           │   ├── IMG_openBranch.png
    │   │   │   │           │   ├── axi_ad7476_0.jpg
    │   │   │   │           │   ├── axi_ad7476_0.svg
    │   │   │   │           │   ├── axi_admp441_0.jpg
    │   │   │   │           │   ├── axi_admp441_0.svg
    │   │   │   │           │   ├── axi_clkgen_0.jpg
    │   │   │   │           │   ├── axi_clkgen_0.svg
    │   │   │   │           │   ├── axi_dma_0.jpg
    │   │   │   │           │   ├── axi_dma_0.svg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.jpg
    │   │   │   │           │   ├── axi_hdmi_tx_16b_0.svg
    │   │   │   │           │   ├── axi_i2s_adi_0.jpg
    │   │   │   │           │   ├── axi_i2s_adi_0.svg
    │   │   │   │           │   ├── axi_iic_0.jpg
    │   │   │   │           │   ├── axi_iic_0.svg
    │   │   │   │           │   ├── axi_iic_1.jpg
    │   │   │   │           │   ├── axi_iic_1.svg
    │   │   │   │           │   ├── axi_interconnect_1.jpg
    │   │   │   │           │   ├── axi_interconnect_1.svg
    │   │   │   │           │   ├── axi_interconnect_2.jpg
    │   │   │   │           │   ├── axi_interconnect_2.svg
    │   │   │   │           │   ├── axi_interconnect_3.jpg
    │   │   │   │           │   ├── axi_interconnect_3.svg
    │   │   │   │           │   ├── axi_spdif_tx_0.jpg
    │   │   │   │           │   ├── axi_spdif_tx_0.svg
    │   │   │   │           │   ├── axi_vdma_0.jpg
    │   │   │   │           │   ├── axi_vdma_0.svg
    │   │   │   │           │   ├── clock_generator_0.jpg
    │   │   │   │           │   ├── clock_generator_0.svg
    │   │   │   │           │   ├── processing_system7_0.jpg
    │   │   │   │           │   ├── processing_system7_0.svg
    │   │   │   │           │   ├── system_blkd.jpg
    │   │   │   │           │   ├── system_blkd.svg
    │   │   │   │           │   ├── util_i2c_mixer_0.jpg
    │   │   │   │           │   ├── util_i2c_mixer_0.svg
    │   │   │   │           │   ├── util_vector_logic_0.jpg
    │   │   │   │           │   └── util_vector_logic_0.svg
    │   │   │   │           ├── ps7_init.c
    │   │   │   │           ├── ps7_init.h
    │   │   │   │           ├── ps7_init.html
    │   │   │   │           ├── ps7_init.tcl
    │   │   │   │           ├── system.bit
    │   │   │   │           ├── system.html
    │   │   │   │           ├── system.xml
    │   │   │   │           ├── system_main.html
    │   │   │   │           ├── system_mainNF.html
    │   │   │   │           └── system_toc.html
    │   │   │   ├── Version_Changes.log
    │   │   │   ├── __xps
    │   │   │   │   ├── bitinit.opt
    │   │   │   │   ├── edw2xtl_sav_globals.xsl
    │   │   │   │   ├── edw2xtl_sav_view.xsl
    │   │   │   │   ├── edw2xtl_sav_view_addr.xsl
    │   │   │   │   ├── edw2xtl_sav_view_busif.xsl
    │   │   │   │   ├── edw2xtl_sav_view_groups.xsl
    │   │   │   │   ├── edw2xtl_sav_view_port.xsl
    │   │   │   │   ├── gensav_cmd.xml
    │   │   │   │   ├── ise
    │   │   │   │   │   ├── system.xreport
    │   │   │   │   │   └── xmsgprops.lst
    │   │   │   │   ├── platgen.opt
    │   │   │   │   ├── simgen.opt
    │   │   │   │   ├── system.xml
    │   │   │   │   ├── system_routed
    │   │   │   │   ├── xplorer.opt
    │   │   │   │   └── xpsxflow.opt
    │   │   │   ├── clock_generator_0.log
    │   │   │   ├── data
    │   │   │   │   ├── ps7_constraints.ucf
    │   │   │   │   ├── ps7_constraints.xdc
    │   │   │   │   ├── ps7_system_prj.xml
    │   │   │   │   └── system.ucf
    │   │   │   ├── etc
    │   │   │   │   ├── bitgen.ut
    │   │   │   │   ├── download.cmd
    │   │   │   │   ├── fast_runtime.opt
    │   │   │   │   ├── system.filters
    │   │   │   │   └── system.gui
    │   │   │   ├── implementation
    │   │   │   │   └── system_summary.html
    │   │   │   ├── license.txt
    │   │   │   ├── platgen.opt
    │   │   │   ├── ps_clock_registers.log
    │   │   │   ├── psf2Edward.log
    │   │   │   ├── system.log
    │   │   │   ├── system.log.bak
    │   │   │   ├── system.make
    │   │   │   ├── system.mhs
    │   │   │   ├── system.xmp
    │   │   │   ├── system_incl.make
    │   │   │   ├── system_log.14.4
    │   │   │   ├── system_log.14.5
    │   │   │   ├── system_xmp.14.4
    │   │   │   ├── system_xmp.14.5
    │   │   │   └── xdsgen.log
    │   │   ├── cf_lib
    │   │   │   └── edk
    │   │   │       └── pcores
    │   │   │           └── axi_admp441_v1_00_a
    │   │   │               ├── data
    │   │   │               │   ├── axi_admp441_v2_1_0.mpd
    │   │   │               │   └── axi_admp441_v2_1_0.pao
    │   │   │               ├── hdl
    │   │   │               │   └── verilog
    │   │   │               │       ├── axi_admp441.v
    │   │   │               │       └── axi_admp441_dev_if.v
    │   │   │               └── regmap.txt
    │   │   └── main.c
    │   └── PmodTMP2
    │       ├── ADT7420.c
    │       ├── ADT7420.h
    │       ├── bin
    │       │   ├── ADIEvalBoard.bit
    │       │   ├── ADIEvalBoard.elf
    │       │   ├── ADIEvalBoard.tcl
    │       │   ├── download.bat
    │       │   └── ps7_init.tcl
    │       └── main.c
    ├── README.md
    ├── Renesas
    │   └── RL78G14
    │       ├── Common
    │       │   ├── Communication.c
    │       │   ├── Communication.h
    │       │   ├── RDKRL78G14.c
    │       │   ├── RDKRL78G14.h
    │       │   ├── ST7579.c
    │       │   ├── ST7579.h
    │       │   ├── TIME.c
    │       │   └── TIME.h
    │       ├── PmodACL
    │       │   └── PmodACL.c
    │       ├── PmodACL2
    │       │   └── PmodACL2.c
    │       ├── PmodAD1
    │       │   └── PmodAD1.c
    │       ├── PmodAD2
    │       │   └── PmodAD2.c
    │       ├── PmodAD3
    │       │   └── PmodAD3.c
    │       ├── PmodAD4
    │       │   └── PmodAD4.c
    │       ├── PmodAD5
    │       │   └── PmodAD5.c
    │       ├── PmodAD6
    │       │   └── PmodAD6.c
    │       ├── PmodDA1
    │       │   └── PmodDA1.c
    │       ├── PmodDA3
    │       │   └── PmodDA3.c
    │       ├── PmodDA4
    │       │   └── PmodDA4.c
    │       ├── PmodDA5
    │       │   └── PmodDA5.c
    │       ├── PmodDPOT
    │       │   └── PmodDPOT.c
    │       ├── PmodGYRO2
    │       │   └── PmodGYRO2.c
    │       ├── PmodIA
    │       │   └── PmodIA.c
    │       ├── PmodIOXP
    │       │   └── PmodIOXP.c
    │       ├── PmodRF3
    │       │   └── PmodRF3.c
    │       └── PmodTMP2
    │           └── PmodTMP2.c
    ├── ad-fmcjesdadc1-ebz
    │   ├── README.md
    │   ├── a10gx
    │   │   └── Makefile
    │   ├── ad-fmcjesdadc1-ebz.mk
    │   ├── ad9250.c
    │   ├── ad9250.h
    │   ├── ad9517.c
    │   ├── ad9517.h
    │   ├── ad_fmcjesdadc1_ebz.c
    │   ├── config.h
    │   ├── kc705
    │   │   └── Makefile
    │   ├── vc707
    │   │   └── Makefile
    │   └── zc706
    │       └── Makefile
    ├── ad400x-fmcz
    │   ├── ad400x.c
    │   ├── ad400x.h
    │   ├── ad400x_fmcz.c
    │   ├── spi_engine.c
    │   └── spi_engine.h
    ├── ad5758-sdz
    │   ├── ad5758.c
    │   ├── ad5758.h
    │   ├── ad5758_sdz.c
    │   ├── platform_drivers.c
    │   └── platform_drivers.h
    ├── ad5766-sdz
    │   ├── ad5766.c
    │   ├── ad5766.h
    │   ├── ad5766_core.c
    │   ├── ad5766_core.h
    │   ├── ad5766_sdz.c
    │   ├── platform_drivers.c
    │   ├── platform_drivers.h
    │   ├── spi_engine.c
    │   └── spi_engine.h
    ├── ad6676-ebz
    │   ├── README.md
    │   ├── ad6676_ebz.c
    │   ├── ad6676_ebz.mk
    │   ├── config.h
    │   ├── vc707
    │   │   └── Makefile
    │   └── zc706
    │       └── Makefile
    ├── ad713x-fmcz
    │   ├── ad713x.c
    │   ├── ad713x.h
    │   ├── ad713x_fmc.c
    │   ├── platform_drivers.c
    │   ├── platform_drivers.h
    │   ├── spi_engine.c
    │   └── spi_engine.h
    ├── ad738x-fmcz
    │   ├── ad738x.c
    │   ├── ad738x.h
    │   ├── ad738x_fmc.c
    │   ├── spi_engine.c
    │   └── spi_engine.h
    ├── ad7616-sdz
    │   ├── ad7616.c
    │   ├── ad7616.h
    │   ├── ad7616_core.c
    │   ├── ad7616_core.h
    │   ├── ad7616_sdz.c
    │   ├── ad7616_sdz.mk
    │   ├── capture.bat
    │   ├── capture.tcl
    │   ├── capture_par.bat
    │   ├── capture_par.tcl
    │   ├── platform_drivers.c
    │   ├── platform_drivers.h
    │   ├── spi_engine.c
    │   ├── spi_engine.h
    │   ├── zc706
    │   │   └── Makefile
    │   └── zed
    │       └── Makefile
    ├── ad7768-1fmcz
    │   ├── ad77681.c
    │   ├── ad77681.h
    │   ├── ad77681evb.c
    │   ├── spi_engine.c
    │   └── spi_engine.h
    ├── ad7768-evb
    │   ├── ad7768_evb.c
    │   ├── ad7768_evb.mk
    │   ├── adc_core.c
    │   ├── adc_core.h
    │   ├── platform_drivers.c
    │   ├── platform_drivers.h
    │   └── zed
    │       └── Makefile
    ├── ad9265-fmc-125ebz
    │   ├── README.md
    │   ├── ad9265_fmc_125ebz.c
    │   ├── ad9265_fmc_125ebz.mk
    │   ├── config.h
    │   └── zc706
    │       └── Makefile
    ├── ad9361
    │   ├── README.md
    │   ├── chipscope
    │   │   ├── cf_ad9361_kc705.cpj
    │   │   ├── cf_ad9361_ml605.cpj
    │   │   ├── cf_ad9361_zc702.cpj
    │   │   ├── cf_ad9361_zc706.cpj
    │   │   └── cf_ad9361_zed.cpj
    │   ├── scripts
    │   │   ├── adc_dma_example
    │   │   │   └── xilinx
    │   │   │       └── adc_dma_example.tcl
    │   │   ├── fmcomms5_zc702
    │   │   │   ├── capture.bat
    │   │   │   ├── capture.elf
    │   │   │   ├── capture.tcl
    │   │   │   ├── ps7_init.tcl
    │   │   │   └── system.bit
    │   │   ├── fmcomms5_zc706
    │   │   │   ├── capture.bat
    │   │   │   ├── capture.elf
    │   │   │   ├── capture.tcl
    │   │   │   ├── ps7_init.tcl
    │   │   │   └── system.bit
    │   │   ├── kc705
    │   │   │   ├── capture.bat
    │   │   │   ├── capture.elf
    │   │   │   ├── capture.tcl
    │   │   │   └── system.bit
    │   │   ├── zc702
    │   │   │   ├── capture.bat
    │   │   │   ├── capture.elf
    │   │   │   ├── capture.tcl
    │   │   │   ├── ps7_init.tcl
    │   │   │   └── system.bit
    │   │   ├── zc706
    │   │   │   ├── capture.bat
    │   │   │   ├── capture.elf
    │   │   │   ├── capture.tcl
    │   │   │   ├── ps7_init.tcl
    │   │   │   └── system.bit
    │   │   └── zed
    │   │       ├── capture.bat
    │   │       ├── capture.elf
    │   │       ├── capture.tcl
    │   │       ├── ps7_init.tcl
    │   │       └── system.bit
    │   └── sw
    │       ├── Makefile.generic
    │       ├── Makefile.linux
    │       ├── README.Build.txt
    │       ├── ad9361.c
    │       ├── ad9361.h
    │       ├── ad9361_api.c
    │       ├── ad9361_api.h
    │       ├── ad9361_conv.c
    │       ├── common.h
    │       ├── config.h
    │       ├── console_commands
    │       │   ├── command.c
    │       │   ├── command.h
    │       │   ├── console.c
    │       │   └── console.h
    │       ├── main.c
    │       ├── platform_altera
    │       │   ├── adc_core.c
    │       │   ├── adc_core.h
    │       │   ├── dac_core.c
    │       │   ├── dac_core.h
    │       │   ├── parameters.h
    │       │   ├── platform.c
    │       │   └── platform.h
    │       ├── platform_generic
    │       │   ├── parameters.h
    │       │   ├── platform.c
    │       │   └── platform.h
    │       ├── platform_linux
    │       │   ├── adc_core.c
    │       │   ├── adc_core.h
    │       │   ├── dac_core.c
    │       │   ├── dac_core.h
    │       │   ├── filter.c
    │       │   ├── filter.h
    │       │   ├── parameters.h
    │       │   ├── platform.c
    │       │   └── platform.h
    │       ├── platform_xilinx
    │       │   ├── adc_core.c
    │       │   ├── adc_core.h
    │       │   ├── dac_core.c
    │       │   ├── dac_core.h
    │       │   ├── parameters.h
    │       │   ├── platform.c
    │       │   ├── platform.h
    │       │   └── run.tcl
    │       ├── util.c
    │       └── util.h
    ├── ad9434-fmc-500ebz
    │   ├── README.md
    │   ├── ad9434_fmc_500ebz.c
    │   ├── ad9434_fmc_500ebz.mk
    │   ├── config.h
    │   └── zc706
    │       └── Makefile
    ├── ad9467-fmc-ebz
    │   ├── README.md
    │   ├── ad9467_fmc_ebz.c
    │   ├── ad9467_fmc_ebz.mk
    │   ├── config.h
    │   ├── kc705
    │   │   └── Makefile
    │   └── zed
    │       └── Makefile
    ├── ad9739a-fmc-ebz
    │   ├── README.md
    │   ├── ad9739a_fmc_ebz.c
    │   ├── ad9739a_fmc_ebz.mk
    │   ├── config.h
    │   └── zc706
    │       └── Makefile
    ├── adaq7980-sdz
    │   ├── adaq7980.c
    │   ├── adaq7980.h
    │   ├── adaq7980_sdz.c
    │   ├── platform_drivers.c
    │   ├── platform_drivers.h
    │   ├── spi_engine.c
    │   └── spi_engine.h
    ├── adrv9361z7035
    │   ├── adrv9361z7035.mk
    │   ├── ccbob_cmos
    │   │   └── Makefile
    │   ├── ccbob_lvds
    │   │   └── Makefile
    │   └── ccfmc_lvds
    │       └── Makefile
    ├── adrv9364z7020
    │   ├── adrv9364z7020.mk
    │   ├── ccbob_cmos
    │   │   └── Makefile
    │   └── ccbob_lvds
    │       └── Makefile
    ├── adum7701_fmc
    │   ├── adc_core.c
    │   ├── adc_core.h
    │   ├── adum7701.c
    │   ├── adum7701.h
    │   ├── adum7701_fmc.c
    │   ├── clkgen_core.c
    │   ├── clkgen_core.h
    │   ├── platform_drivers.c
    │   └── platform_drivers.h
    ├── build_scripts
    │   └── xilinx
    │       ├── create_sdk_project.tcl
    │       └── parse_readme_copy_sources.tcl
    ├── ci
    │   └── travis
    │       ├── astyle.sh
    │       ├── astyle_config
    │       ├── cppcheck.sh
    │       ├── doxygen.sh
    │       ├── lib.sh
    │       ├── patch_doxy.patch
    │       └── run_build.sh
    ├── common_drivers
    │   ├── adc_core
    │   │   ├── adc_core.c
    │   │   └── adc_core.h
    │   ├── communication
    │   │   └── generic
    │   │       ├── Communication.c
    │   │       └── Communication.h
    │   ├── dac_buffer
    │   │   ├── dac_buffer.c
    │   │   └── dac_buffer.h
    │   ├── dac_core
    │   │   ├── dac_core.c
    │   │   └── dac_core.h
    │   ├── dmac_core
    │   │   ├── dmac_core.c
    │   │   └── dmac_core.h
    │   ├── fru_tools
    │   │   ├── fru_tools.c
    │   │   └── fru_tools.h
    │   ├── jesd_core
    │   │   ├── jesd_core.c
    │   │   └── jesd_core.h
    │   ├── platform_drivers
    │   │   ├── platform_drivers.c
    │   │   └── platform_drivers.h
    │   └── xcvr_core
    │       ├── xcvr_core.c
    │       ├── xcvr_core.h
    │       └── xcvr_modules
    │           ├── altera_a10_atx_pll.c
    │           ├── altera_a10_atx_pll.h
    │           ├── altera_a10_fpll.c
    │           ├── altera_a10_fpll.h
    │           ├── altera_a10_xcvr_channel.c
    │           ├── altera_a10_xcvr_channel.h
    │           ├── altera_pll_common.c
    │           ├── altera_pll_common.h
    │           ├── xilinx_qpll.c
    │           ├── xilinx_qpll.h
    │           ├── xilinx_xcvr_channel.c
    │           └── xilinx_xcvr_channel.h
    ├── device_commands
    │   ├── AD2S1205
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5110
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5111
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5172
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD525x
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5270
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5421
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5425
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5446
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5449
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5570
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5629R
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5686
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD5755
    │   │   ├── command.c
    │   │   └── command.h
    │   ├── AD5791
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── AD9833
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── ADF4106
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── ADF4153
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── ADF4156
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── ADF4157
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0150
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0178
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0188
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0189
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0194
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0202
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0203
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0204
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0209
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0216
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0235
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0241
    │   │   ├── Command.c
    │   │   └── Command.h
    │   ├── CN0271
    │   │   ├── Command.c
    │   │   └── Command.h
    │   └── CN187
    │       ├── Command.c
    │       └── Command.h
    ├── device_drivers
    │   ├── AD2S1205
    │   │   ├── ad2s1205.c
    │   │   └── ad2s1205.h
    │   ├── AD5111
    │   │   ├── AD5111.c
    │   │   └── AD5111.h
    │   ├── AD5172
    │   │   ├── ad5172.c
    │   │   └── ad5172.h
    │   ├── AD5270
    │   │   ├── ad527x.c
    │   │   └── ad527x.h
    │   ├── AD5425
    │   │   ├── ad5425.c
    │   │   └── ad5425.h
    │   ├── AD5570
    │   │   ├── ad5570.c
    │   │   └── ad5570.h
    │   ├── AD5660
    │   │   ├── ad5660.c
    │   │   └── ad5660.h
    │   ├── AD5662
    │   │   ├── ad5662.c
    │   │   └── ad5662.h
    │   ├── AD5750
    │   │   ├── ad5750.c
    │   │   └── ad5750.h
    │   ├── AD5751
    │   │   ├── ad5751.c
    │   │   └── ad5751.h
    │   ├── AD7171
    │   │   ├── ad7171.c
    │   │   └── ad7171.h
    │   ├── AD7266
    │   │   ├── ad7266.c
    │   │   └── ad7266.h
    │   ├── AD7466
    │   │   ├── ad7466.c
    │   │   └── ad7466.h
    │   ├── AD7685
    │   │   ├── ad7685.c
    │   │   └── ad7685.h
    │   ├── AD7790
    │   │   ├── ad7790.c
    │   │   └── ad7790.h
    │   ├── AD7791
    │   │   ├── ad7791.c
    │   │   └── ad7791.h
    │   ├── AD7887
    │   │   ├── ad7887.c
    │   │   └── ad7887.h
    │   ├── AD7920
    │   │   ├── ad7920.c
    │   │   └── ad7920.h
    │   └── CN0209
    │       ├── cn0209.c
    │       └── cn0209.h
    ├── doc
    │   ├── CMakeLists.txt
    │   ├── Doxyfile.in
    │   ├── DoxygenLayoutIn.xml
    │   ├── drivers_page.dox
    │   ├── license_page.dox
    │   ├── mainpage.dox
    │   └── projects_page.dox
    ├── drivers
    │   ├── Makefile
    │   ├── accel
    │   │   ├── adxl345
    │   │   │   ├── adxl345.c
    │   │   │   └── adxl345.h
    │   │   ├── adxl362
    │   │   │   ├── adxl362.c
    │   │   │   └── adxl362.h
    │   │   └── adxl372
    │   │       ├── adxl372.c
    │   │       ├── adxl372.h
    │   │       ├── adxl372_i2c.c
    │   │       └── adxl372_spi.c
    │   ├── adc
    │   │   ├── ad6673
    │   │   │   ├── ad6673.c
    │   │   │   ├── ad6673.h
    │   │   │   └── ad6673_cfg.h
    │   │   ├── ad6676
    │   │   │   ├── ad6676.c
    │   │   │   └── ad6676.h
    │   │   ├── ad7091r
    │   │   │   ├── ad7091r.c
    │   │   │   └── ad7091r.h
    │   │   ├── ad7124
    │   │   │   ├── ad7124.c
    │   │   │   ├── ad7124.h
    │   │   │   ├── ad7124_regs.c
    │   │   │   └── ad7124_regs.h
    │   │   ├── ad717x
    │   │   │   ├── ad411x_regs.h
    │   │   │   ├── ad7172_2_regs.h
    │   │   │   ├── ad7172_4_regs.h
    │   │   │   ├── ad7173_8_regs.h
    │   │   │   ├── ad7175_2_regs.h
    │   │   │   ├── ad7175_8_regs.h
    │   │   │   ├── ad7176_2_regs.h
    │   │   │   ├── ad7177_2_regs.h
    │   │   │   ├── ad717x.c
    │   │   │   └── ad717x.h
    │   │   ├── ad7193
    │   │   │   ├── ad7193.c
    │   │   │   └── ad7193.h
    │   │   ├── ad7280a
    │   │   │   ├── ad7280a.c
    │   │   │   └── ad7280a.h
    │   │   ├── ad74xx
    │   │   │   ├── ad74xx.c
    │   │   │   └── ad74xx.h
    │   │   ├── ad7606
    │   │   │   ├── ad7606.c
    │   │   │   └── ad7606.h
    │   │   ├── ad7768
    │   │   │   ├── ad7768.c
    │   │   │   └── ad7768.h
    │   │   ├── ad7779
    │   │   │   ├── ad7779.c
    │   │   │   └── ad7779.h
    │   │   ├── ad7780
    │   │   │   ├── ad7780.c
    │   │   │   └── ad7780.h
    │   │   ├── ad7980
    │   │   │   ├── ad7980.c
    │   │   │   └── ad7980.h
    │   │   ├── ad799x
    │   │   │   ├── ad799x.c
    │   │   │   └── ad799x.h
    │   │   ├── ad9208
    │   │   │   ├── ad9208.c
    │   │   │   ├── ad9208.h
    │   │   │   └── ad9208_api
    │   │   │       ├── ad9208_adc_api.c
    │   │   │       ├── ad9208_api.c
    │   │   │       ├── ad9208_api.h
    │   │   │       ├── ad9208_jesd_api.c
    │   │   │       ├── ad9208_reg.c
    │   │   │       ├── ad9208_reg.h
    │   │   │       ├── ad9208_signal_monitor_api.c
    │   │   │       ├── api_def.h
    │   │   │       └── api_errors.h
    │   │   ├── ad9250
    │   │   │   ├── ad9250.c
    │   │   │   ├── ad9250.h
    │   │   │   └── ad9250_cfg.h
    │   │   ├── ad9265
    │   │   │   ├── ad9265.c
    │   │   │   └── ad9265.h
    │   │   ├── ad9434
    │   │   │   ├── ad9434.c
    │   │   │   └── ad9434.h
    │   │   ├── ad9467
    │   │   │   ├── ad9467.c
    │   │   │   └── ad9467.h
    │   │   ├── ad9625
    │   │   │   ├── ad9625.c
    │   │   │   └── ad9625.h
    │   │   ├── ad9680
    │   │   │   ├── ad9680.c
    │   │   │   └── ad9680.h
    │   │   └── ltc2312
    │   │       ├── ltc2312.c
    │   │       └── ltc2312.h
    │   ├── adc-dac
    │   │   └── ad5592r
    │   │       ├── ad5592r-base.c
    │   │       ├── ad5592r-base.h
    │   │       ├── ad5592r.c
    │   │       ├── ad5592r.h
    │   │       ├── ad5593r.c
    │   │       └── ad5593r.h
    │   ├── afe
    │   │   └── ad4110
    │   │       ├── ad4110.c
    │   │       └── ad4110.h
    │   ├── axi_core
    │   │   ├── axi_adc_core
    │   │   │   ├── axi_adc_core.c
    │   │   │   └── axi_adc_core.h
    │   │   ├── axi_dac_core
    │   │   │   ├── axi_dac_core.c
    │   │   │   └── axi_dac_core.h
    │   │   ├── axi_dmac
    │   │   │   ├── axi_dmac.c
    │   │   │   └── axi_dmac.h
    │   │   ├── clk_altera_a10_fpll
    │   │   │   ├── clk_altera_a10_fpll.c
    │   │   │   └── clk_altera_a10_fpll.h
    │   │   ├── clk_axi_clkgen
    │   │   │   ├── clk_axi_clkgen.c
    │   │   │   └── clk_axi_clkgen.h
    │   │   └── jesd204
    │   │       ├── altera_a10_atx_pll.c
    │   │       ├── altera_a10_atx_pll.h
    │   │       ├── altera_a10_cdr_pll.c
    │   │       ├── altera_a10_cdr_pll.h
    │   │       ├── altera_adxcvr.c
    │   │       ├── altera_adxcvr.h
    │   │       ├── axi_adxcvr.c
    │   │       ├── axi_adxcvr.h
    │   │       ├── axi_jesd204_rx.c
    │   │       ├── axi_jesd204_rx.h
    │   │       ├── axi_jesd204_tx.c
    │   │       ├── axi_jesd204_tx.h
    │   │       ├── xilinx_transceiver.c
    │   │       └── xilinx_transceiver.h
    │   ├── cdc
    │   │   └── ad7156
    │   │       ├── ad7156.c
    │   │       └── ad7156.h
    │   ├── dac
    │   │   ├── ad5421
    │   │   │   ├── ad5421.c
    │   │   │   └── ad5421.h
    │   │   ├── ad5446
    │   │   │   ├── ad5446.c
    │   │   │   └── ad5446.h
    │   │   ├── ad5449
    │   │   │   ├── ad5449.c
    │   │   │   └── ad5449.h
    │   │   ├── ad5628
    │   │   │   ├── ad5628.c
    │   │   │   └── ad5628.h
    │   │   ├── ad5629r
    │   │   │   ├── ad5629r.c
    │   │   │   └── ad5629r.h
    │   │   ├── ad5686
    │   │   │   ├── ad5686.c
    │   │   │   └── ad5686.h
    │   │   ├── ad5755
    │   │   │   ├── ad5755.c
    │   │   │   ├── ad5755.h
    │   │   │   └── ad5755_cfg.h
    │   │   ├── ad5761r
    │   │   │   ├── ad5761r.c
    │   │   │   └── ad5761r.h
    │   │   ├── ad5766
    │   │   │   ├── ad5766.c
    │   │   │   └── ad5766.h
    │   │   ├── ad5770r
    │   │   │   ├── ad5770r.c
    │   │   │   └── ad5770r.h
    │   │   ├── ad5791
    │   │   │   ├── ad5791.c
    │   │   │   └── ad5791.h
    │   │   ├── ad7303
    │   │   │   ├── ad7303.c
    │   │   │   └── ad7303.h
    │   │   ├── ad9144
    │   │   │   ├── ad9144.c
    │   │   │   └── ad9144.h
    │   │   ├── ad9152
    │   │   │   ├── ad9152.c
    │   │   │   └── ad9152.h
    │   │   ├── ad917x
    │   │   │   ├── ad9172.c
    │   │   │   ├── ad9172.h
    │   │   │   └── ad917x_api
    │   │   │       ├── AD917x.h
    │   │   │       ├── ad917x_api.c
    │   │   │       ├── ad917x_jesd_api.c
    │   │   │       ├── ad917x_nco_api.c
    │   │   │       ├── ad917x_reg.c
    │   │   │       ├── ad917x_reg.h
    │   │   │       ├── api_def.h
    │   │   │       └── api_errors.h
    │   │   └── ad9739a
    │   │       ├── ad9739a.c
    │   │       └── ad9739a.h
    │   ├── frequency
    │   │   ├── ad9517
    │   │   │   ├── ad9517.c
    │   │   │   ├── ad9517.h
    │   │   │   └── ad9517_cfg.h
    │   │   ├── ad9523
    │   │   │   ├── ad9523.c
    │   │   │   └── ad9523.h
    │   │   ├── ad9528
    │   │   │   ├── ad9528.c
    │   │   │   └── ad9528.h
    │   │   ├── ad9833
    │   │   │   ├── ad9833.c
    │   │   │   └── ad9833.h
    │   │   ├── adf4106
    │   │   │   ├── adf4106.c
    │   │   │   ├── adf4106.h
    │   │   │   └── adf4106_cfg.h
    │   │   ├── adf4153
    │   │   │   ├── adf4153.c
    │   │   │   ├── adf4153.h
    │   │   │   └── adf4153_cfg.h
    │   │   ├── adf4156
    │   │   │   ├── adf4156.c
    │   │   │   ├── adf4156.h
    │   │   │   └── adf4156_cfg.h
    │   │   ├── adf4157
    │   │   │   ├── adf4157.c
    │   │   │   ├── adf4157.h
    │   │   │   └── adf4157_cfg.h
    │   │   ├── adf4350
    │   │   │   ├── adf4350.c
    │   │   │   └── adf4350.h
    │   │   └── hmc7044
    │   │       ├── hmc7044.c
    │   │       └── hmc7044.h
    │   ├── gyro
    │   │   └── adxrs453
    │   │       ├── adxrs453.c
    │   │       └── adxrs453.h
    │   ├── impedance-analyzer
    │   │   └── ad5933
    │   │       ├── ad5933.c
    │   │       └── ad5933.h
    │   ├── io-expander
    │   │   └── adp5589
    │   │       ├── adp5589.c
    │   │       └── adp5589.h
    │   ├── mux
    │   │   ├── adgs1408
    │   │   │   ├── adgs1408.c
    │   │   │   └── adgs1408.h
    │   │   └── adgs5412
    │   │       ├── adgs5412.c
    │   │       └── adgs5412.h
    │   ├── platform
    │   │   ├── aducm3029
    │   │   │   ├── spi.c
    │   │   │   └── spi_extra.h
    │   │   ├── altera
    │   │   │   ├── axi_io.c
    │   │   │   ├── delay.c
    │   │   │   ├── gpio.c
    │   │   │   ├── gpio_extra.h
    │   │   │   ├── i2c.c
    │   │   │   ├── i2c_extra.h
    │   │   │   ├── spi.c
    │   │   │   └── spi_extra.h
    │   │   ├── generic
    │   │   │   ├── delay.c
    │   │   │   ├── gpio.c
    │   │   │   ├── i2c.c
    │   │   │   ├── platform_drivers.h
    │   │   │   ├── spi.c
    │   │   │   ├── timer.c
    │   │   │   └── uart.c
    │   │   ├── linux
    │   │   │   ├── platform_drivers.c
    │   │   │   └── platform_drivers.h
    │   │   └── xilinx
    │   │       ├── axi_io.c
    │   │       ├── delay.c
    │   │       ├── gpio.c
    │   │       ├── gpio_extra.h
    │   │       ├── i2c.c
    │   │       ├── i2c_extra.h
    │   │       ├── irq.c
    │   │       ├── irq_extra.h
    │   │       ├── spi.c
    │   │       ├── spi_extra.h
    │   │       ├── uart.c
    │   │       └── uart_extra.h
    │   ├── potentiometer
    │   │   ├── ad5110
    │   │   │   ├── ad5110.c
    │   │   │   └── ad5110.h
    │   │   └── ad525x
    │   │       ├── ad525x.c
    │   │       └── ad525x.h
    │   ├── rf-transceiver
    │   │   ├── adf7023
    │   │   │   ├── adf7023.c
    │   │   │   ├── adf7023.h
    │   │   │   └── adf7023_config.h
    │   │   └── talise
    │   │       ├── api
    │   │       │   ├── LICENSE.txt
    │   │       │   ├── talise.c
    │   │       │   ├── talise.h
    │   │       │   ├── talise_agc.c
    │   │       │   ├── talise_agc.h
    │   │       │   ├── talise_agc_types.h
    │   │       │   ├── talise_arm.c
    │   │       │   ├── talise_arm.h
    │   │       │   ├── talise_arm_macros.h
    │   │       │   ├── talise_arm_types.h
    │   │       │   ├── talise_cals.c
    │   │       │   ├── talise_cals.h
    │   │       │   ├── talise_cals_types.h
    │   │       │   ├── talise_error.c
    │   │       │   ├── talise_error.h
    │   │       │   ├── talise_error_types.h
    │   │       │   ├── talise_gpio.c
    │   │       │   ├── talise_gpio.h
    │   │       │   ├── talise_gpio_types.h
    │   │       │   ├── talise_hal.c
    │   │       │   ├── talise_hal.h
    │   │       │   ├── talise_jesd204.c
    │   │       │   ├── talise_jesd204.h
    │   │       │   ├── talise_jesd204_types.h
    │   │       │   ├── talise_radioctrl.c
    │   │       │   ├── talise_radioctrl.h
    │   │       │   ├── talise_radioctrl_types.h
    │   │       │   ├── talise_reg_addr_macros.h
    │   │       │   ├── talise_rx.c
    │   │       │   ├── talise_rx.h
    │   │       │   ├── talise_rx_types.h
    │   │       │   ├── talise_tx.c
    │   │       │   ├── talise_tx.h
    │   │       │   ├── talise_tx_types.h
    │   │       │   ├── talise_types.h
    │   │       │   ├── talise_user.c
    │   │       │   ├── talise_user.h
    │   │       │   └── talise_version.h
    │   │       └── firmware
    │   │           ├── talise_arm_binary.h
    │   │           └── talise_stream_binary.h
    │   ├── sd-card
    │   │   ├── sd.c
    │   │   └── sd.h
    │   └── temperature
    │       └── adt7420
    │           ├── adt7420.c
    │           └── adt7420.h
    ├── fmcadc2
    │   ├── config.h
    │   ├── fmcadc2.c
    │   ├── fmcadc2.mk
    │   ├── vc707
    │   │   └── Makefile
    │   └── zc706
    │       └── Makefile
    ├── fmcadc4
    │   ├── README.md
    │   ├── config.h
    │   ├── fmcadc4.c
    │   ├── fmcadc4.mk
    │   └── zc706
    │       └── Makefile
    ├── fmcadc5
    │   ├── README.md
    │   ├── config.h
    │   ├── fmcadc5.c
    │   ├── fmcadc5.mk
    │   ├── i5g.c
    │   ├── i5g.h
    │   └── vc707
    │       └── Makefile
    ├── fmcdaq2
    │   ├── a10gx
    │   │   └── Makefile
    │   ├── config.h
    │   ├── fmcdaq2.c
    │   ├── fmcdaq2.mk
    │   ├── kc705
    │   │   └── Makefile
    │   ├── kcu105
    │   │   └── Makefile
    │   ├── vc707
    │   │   └── Makefile
    │   ├── zc706
    │   │   └── Makefile
    │   └── zcu102
    │       └── Makefile
    ├── fmcdaq3
    │   ├── a10gx
    │   │   └── Makefile
    │   ├── config.h
    │   ├── fmcdaq3.c
    │   ├── fmcdaq3.mk
    │   ├── kcu105
    │   │   └── Makefile
    │   └── zc706
    │       └── Makefile
    ├── fmcomms2
    │   ├── ac701
    │   │   └── Makefile
    │   ├── fmcomms2.mk
    │   ├── kc705
    │   │   └── Makefile
    │   ├── kcu105
    │   │   └── Makefile
    │   ├── mitx045
    │   │   └── Makefile
    │   ├── vc707
    │   │   └── Makefile
    │   ├── zc702
    │   │   └── Makefile
    │   ├── zc706
    │   │   └── Makefile
    │   ├── zcu102
    │   │   └── Makefile
    │   └── zed
    │       └── Makefile
    ├── fmcomms5
    │   ├── fmcomms5.mk
    │   ├── zc702
    │   │   └── Makefile
    │   ├── zc706
    │   │   └── Makefile
    │   └── zcu102
    │       └── Makefile
    ├── iio
    │   ├── iio.c
    │   ├── iio.h
    │   ├── iio_ad9361
    │   │   ├── iio_ad9361.c
    │   │   └── iio_ad9361.h
    │   ├── iio_app
    │   │   ├── iio_app.c
    │   │   ├── iio_app.h
    │   │   ├── iio_axi_adc_app.c
    │   │   ├── iio_axi_adc_app.h
    │   │   ├── iio_axi_dac_app.c
    │   │   └── iio_axi_dac_app.h
    │   ├── iio_axi_adc
    │   │   ├── iio_axi_adc.c
    │   │   └── iio_axi_adc.h
    │   ├── iio_axi_dac
    │   │   ├── iio_axi_dac.c
    │   │   └── iio_axi_dac.h
    │   └── iio_types.h
    ├── include
    │   ├── axi_io.h
    │   ├── delay.h
    │   ├── error.h
    │   ├── fifo.h
    │   ├── gpio.h
    │   ├── i2c.h
    │   ├── irq.h
    │   ├── spi.h
    │   ├── timer.h
    │   ├── uart.h
    │   ├── util.h
    │   └── xml.h
    ├── legacy
    │   └── ad-dyno1-ebz
    │       ├── ADuC702x.s
    │       ├── README.txt
    │       └── src
    │           ├── Communication.c
    │           ├── Communication.h
    │           ├── Dyno.c
    │           ├── Dyno.h
    │           ├── IRQ_arm.c
    │           ├── Main.c
    │           ├── Menu.c
    │           ├── Menu.h
    │           ├── ST7565R.c
    │           ├── ST7565R.h
    │           ├── Time.c
    │           └── Time.h
    ├── libraries
    │   ├── fatfs
    │   │   ├── LICENSE.txt
    │   │   ├── documents
    │   │   │   ├── 00index_e.html
    │   │   │   ├── css_e.css
    │   │   │   ├── doc
    │   │   │   │   ├── appnote.html
    │   │   │   │   ├── chdir.html
    │   │   │   │   ├── chdrive.html
    │   │   │   │   ├── chmod.html
    │   │   │   │   ├── close.html
    │   │   │   │   ├── closedir.html
    │   │   │   │   ├── config.html
    │   │   │   │   ├── dinit.html
    │   │   │   │   ├── dioctl.html
    │   │   │   │   ├── dread.html
    │   │   │   │   ├── dstat.html
    │   │   │   │   ├── dwrite.html
    │   │   │   │   ├── eof.html
    │   │   │   │   ├── error.html
    │   │   │   │   ├── expand.html
    │   │   │   │   ├── fattime.html
    │   │   │   │   ├── fdisk.html
    │   │   │   │   ├── filename.html
    │   │   │   │   ├── findfirst.html
    │   │   │   │   ├── findnext.html
    │   │   │   │   ├── forward.html
    │   │   │   │   ├── getcwd.html
    │   │   │   │   ├── getfree.html
    │   │   │   │   ├── getlabel.html
    │   │   │   │   ├── gets.html
    │   │   │   │   ├── lseek.html
    │   │   │   │   ├── mkdir.html
    │   │   │   │   ├── mkfs.html
    │   │   │   │   ├── mount.html
    │   │   │   │   ├── open.html
    │   │   │   │   ├── opendir.html
    │   │   │   │   ├── printf.html
    │   │   │   │   ├── putc.html
    │   │   │   │   ├── puts.html
    │   │   │   │   ├── rc.html
    │   │   │   │   ├── read.html
    │   │   │   │   ├── readdir.html
    │   │   │   │   ├── rename.html
    │   │   │   │   ├── sdir.html
    │   │   │   │   ├── setcp.html
    │   │   │   │   ├── setlabel.html
    │   │   │   │   ├── sfatfs.html
    │   │   │   │   ├── sfile.html
    │   │   │   │   ├── sfileinfo.html
    │   │   │   │   ├── size.html
    │   │   │   │   ├── stat.html
    │   │   │   │   ├── sync.html
    │   │   │   │   ├── tell.html
    │   │   │   │   ├── truncate.html
    │   │   │   │   ├── unlink.html
    │   │   │   │   ├── utime.html
    │   │   │   │   └── write.html
    │   │   │   ├── res
    │   │   │   │   ├── app1.c
    │   │   │   │   ├── app2.c
    │   │   │   │   ├── app3.c
    │   │   │   │   ├── app4.c
    │   │   │   │   ├── app5.c
    │   │   │   │   ├── app6.c
    │   │   │   │   ├── f1.png
    │   │   │   │   ├── f2.png
    │   │   │   │   ├── f3.png
    │   │   │   │   ├── f4.png
    │   │   │   │   ├── f5.png
    │   │   │   │   ├── f6.png
    │   │   │   │   ├── f7.png
    │   │   │   │   ├── funcs.png
    │   │   │   │   ├── layers.png
    │   │   │   │   ├── layers1.png
    │   │   │   │   ├── layers2.png
    │   │   │   │   ├── layers3.png
    │   │   │   │   ├── mkfatimg.zip
    │   │   │   │   ├── mkfs.xlsx
    │   │   │   │   ├── modules.png
    │   │   │   │   ├── rwtest1.png
    │   │   │   │   ├── rwtest2.png
    │   │   │   │   └── rwtest3.png
    │   │   │   └── updates.txt
    │   │   └── source
    │   │       ├── 00history.txt
    │   │       ├── 00readme.txt
    │   │       ├── diskio.c
    │   │       ├── diskio.h
    │   │       ├── ff.c
    │   │       ├── ff.h
    │   │       ├── ffconf.h
    │   │       ├── ffsystem.c
    │   │       └── ffunicode.c
    │   └── libtinyiiod
    ├── platform_drivers
    │   └── Xilinx
    │       ├── SDP_ADC
    │       │   └── AD7091
    │       │       ├── Data_Capture
    │       │       │   ├── data_capture.bat
    │       │       │   └── data_capture.tcl
    │       │       ├── ad7091.c
    │       │       ├── ad7091.h
    │       │       ├── main.c
    │       │       └── xdma_config.h
    │       └── SDP_Common
    │           ├── Communication.c
    │           ├── Communication.h
    │           ├── Console.c
    │           ├── Console.h
    │           ├── TIME.c
    │           ├── TIME.h
    │           └── main.c
    ├── projects
    │   ├── ad9172
    │   │   ├── Makefile
    │   │   ├── src
    │   │   │   ├── README
    │   │   │   ├── app_config.h
    │   │   │   ├── main.c
    │   │   │   └── parameters.h
    │   │   └── src.mk
    │   ├── ad9208
    │   │   ├── Makefile
    │   │   ├── src
    │   │   │   ├── README
    │   │   │   ├── main.c
    │   │   │   └── parameters.h
    │   │   └── src.mk
    │   ├── ad9361
    │   │   ├── Makefile
    │   │   ├── src
    │   │   │   ├── Makefile.generic
    │   │   │   ├── Makefile.linux
    │   │   │   ├── README.Build.txt
    │   │   │   ├── ad9361.c
    │   │   │   ├── ad9361.h
    │   │   │   ├── ad9361_api.c
    │   │   │   ├── ad9361_api.h
    │   │   │   ├── ad9361_conv.c
    │   │   │   ├── ad9361_util.c
    │   │   │   ├── ad9361_util.h
    │   │   │   ├── common.h
    │   │   │   ├── config.h
    │   │   │   ├── main.c
    │   │   │   └── parameters.h
    │   │   └── src.mk
    │   ├── ad9371
    │   │   ├── Makefile
    │   │   ├── profiles
    │   │   │   ├── tx_bw100_orx_bw100_rx_bw100
    │   │   │   │   ├── myk.c
    │   │   │   │   ├── myk.h
    │   │   │   │   └── myk_ad9528init.c
    │   │   │   ├── tx_bw100_orx_bw100_rx_bw40
    │   │   │   │   ├── myk.c
    │   │   │   │   ├── myk.h
    │   │   │   │   └── myk_ad9528init.c
    │   │   │   └── tx_bw200_orx_bw200_rx_bw100
    │   │   │       ├── myk.c
    │   │   │       ├── myk.h
    │   │   │       └── myk_ad9528init.c
    │   │   ├── src
    │   │   │   ├── LICENSE_API
    │   │   │   ├── LICENSE_FW
    │   │   │   ├── README
    │   │   │   ├── app
    │   │   │   │   ├── app_config.h
    │   │   │   │   ├── headless.c
    │   │   │   │   ├── myk.c
    │   │   │   │   ├── myk.h
    │   │   │   │   └── myk_ad9528init.c
    │   │   │   ├── devices
    │   │   │   │   ├── ad9528
    │   │   │   │   │   ├── ad9528.c
    │   │   │   │   │   ├── ad9528.h
    │   │   │   │   │   └── t_ad9528.h
    │   │   │   │   ├── adi_hal
    │   │   │   │   │   ├── common.c
    │   │   │   │   │   ├── common.h
    │   │   │   │   │   └── parameters.h
    │   │   │   │   └── mykonos
    │   │   │   │       ├── mykonos.c
    │   │   │   │       ├── mykonos.h
    │   │   │   │       ├── mykonosMmap.c
    │   │   │   │       ├── mykonos_debug
    │   │   │   │       │   ├── mykonos_dbgjesd.c
    │   │   │   │       │   ├── mykonos_dbgjesd.h
    │   │   │   │       │   └── t_mykonos_dbgjesd.h
    │   │   │   │       ├── mykonos_gpio.c
    │   │   │   │       ├── mykonos_gpio.h
    │   │   │   │       ├── mykonos_macros.h
    │   │   │   │       ├── mykonos_user.c
    │   │   │   │       ├── mykonos_user.h
    │   │   │   │       ├── mykonos_version.h
    │   │   │   │       ├── t_mykonos.h
    │   │   │   │       └── t_mykonos_gpio.h
    │   │   │   └── firmware
    │   │   │       └── Mykonos_M3.h
    │   │   └── src.mk
    │   ├── adrv9009
    │   │   ├── Makefile
    │   │   ├── profiles
    │   │   │   ├── tx_bw100_ir122p88_rx_bw100_or122p88_orx_bw100_or122p88_dc122p88
    │   │   │   │   ├── talise_config.c
    │   │   │   │   ├── talise_config.h
    │   │   │   │   └── talise_config_ad9528.h
    │   │   │   ├── tx_bw200_ir245p76_rx_bw200_or245p76_orx_bw200_or245p76_dc245p76
    │   │   │   │   ├── talise_config.c
    │   │   │   │   ├── talise_config.h
    │   │   │   │   └── talise_config_ad9528.h
    │   │   │   └── tx_bw400_ir491p52_rx_bw200_or245p76_orx_bw400_or491p52_dc245p76
    │   │   │       ├── talise_config.c
    │   │   │       ├── talise_config.h
    │   │   │       └── talise_config_ad9528.h
    │   │   ├── src
    │   │   │   ├── README
    │   │   │   ├── app
    │   │   │   │   ├── app_clocking.c
    │   │   │   │   ├── app_clocking.h
    │   │   │   │   ├── app_config.h
    │   │   │   │   ├── app_jesd.c
    │   │   │   │   ├── app_jesd.h
    │   │   │   │   ├── app_talise.c
    │   │   │   │   ├── app_talise.h
    │   │   │   │   ├── app_transceiver.c
    │   │   │   │   ├── app_transceiver.h
    │   │   │   │   └── headless.c
    │   │   │   └── devices
    │   │   │       └── adi_hal
    │   │   │           ├── LICENSE.txt
    │   │   │           ├── adi_hal.h
    │   │   │           ├── common.h
    │   │   │           ├── no_os_hal.c
    │   │   │           └── parameters.h
    │   │   └── src.mk
    │   └── adv7511
    │       ├── ac701
    │       │   ├── cf_hdmi.c
    │       │   ├── cf_hdmi.h
    │       │   ├── cf_hdmi_demo.h
    │       │   ├── main.c
    │       │   ├── readme.txt
    │       │   ├── transmitter.c
    │       │   ├── transmitter.h
    │       │   └── transmitter_defs.h
    │       ├── evaluate
    │       │   ├── ac701
    │       │   │   ├── evaluate.bat
    │       │   │   ├── evaluate.tcl
    │       │   │   └── system.bit
    │       │   ├── kc705
    │       │   │   ├── evaluate.bat
    │       │   │   ├── evaluate.tcl
    │       │   │   └── system.bit
    │       │   ├── vc707
    │       │   │   ├── evaluate.bat
    │       │   │   ├── evaluate.tcl
    │       │   │   └── system.bit
    │       │   ├── zc702
    │       │   │   ├── evaluate.bat
    │       │   │   ├── evaluate.tcl
    │       │   │   ├── ps7_init.tcl
    │       │   │   └── system.bit
    │       │   ├── zc706
    │       │   │   ├── evaluate.bat
    │       │   │   ├── evaluate.tcl
    │       │   │   ├── ps7_init.tcl
    │       │   │   └── system.bit
    │       │   └── zed
    │       │       ├── evaluate.bat
    │       │       ├── evaluate.tcl
    │       │       ├── ps7_init.tcl
    │       │       └── system.bit
    │       ├── kc705
    │       │   ├── cf_hdmi.c
    │       │   ├── cf_hdmi.h
    │       │   ├── cf_hdmi_demo.h
    │       │   ├── main.c
    │       │   ├── readme.txt
    │       │   ├── transmitter.c
    │       │   ├── transmitter.h
    │       │   └── transmitter_defs.h
    │       ├── library
    │       │   ├── microblaze
    │       │   │   ├── inc
    │       │   │   │   ├── atv_common.h
    │       │   │   │   ├── atv_platform.h
    │       │   │   │   ├── atv_types.h
    │       │   │   │   ├── edid.h
    │       │   │   │   └── tx_lib.h
    │       │   │   └── lib
    │       │   │       └── libHDMI_MicroBlazeLib.a
    │       │   ├── zc
    │       │   │   ├── inc
    │       │   │   │   ├── atv_common.h
    │       │   │   │   ├── atv_platform.h
    │       │   │   │   ├── atv_types.h
    │       │   │   │   ├── edid.h
    │       │   │   │   └── tx_lib.h
    │       │   │   └── lib
    │       │   │       └── libHDMI_ZynqLib.a
    │       │   └── zed
    │       │       ├── inc
    │       │       │   ├── atv_common.h
    │       │       │   ├── atv_platform.h
    │       │       │   ├── atv_types.h
    │       │       │   ├── edid.h
    │       │       │   └── tx_lib.h
    │       │       └── lib
    │       │           └── libHDMI_ZedBoardLib.a
    │       ├── vc707
    │       │   ├── cf_hdmi.c
    │       │   ├── cf_hdmi.h
    │       │   ├── cf_hdmi_demo.h
    │       │   ├── main.c
    │       │   ├── readme.txt
    │       │   ├── transmitter.c
    │       │   ├── transmitter.h
    │       │   └── transmitter_defs.h
    │       ├── zc702
    │       │   ├── cf_hdmi.c
    │       │   ├── cf_hdmi.h
    │       │   ├── cf_hdmi_demo.h
    │       │   ├── main.c
    │       │   ├── readme.txt
    │       │   ├── transmitter.c
    │       │   ├── transmitter.h
    │       │   └── transmitter_defs.h
    │       ├── zc706
    │       │   ├── cf_hdmi.c
    │       │   ├── cf_hdmi.h
    │       │   ├── cf_hdmi_demo.h
    │       │   ├── main.c
    │       │   ├── readme.txt
    │       │   ├── transmitter.c
    │       │   ├── transmitter.h
    │       │   └── transmitter_defs.h
    │       └── zed
    │           ├── cf_hdmi.c
    │           ├── cf_hdmi.h
    │           ├── cf_hdmi_demo.h
    │           ├── main.c
    │           ├── readme.txt
    │           ├── transmitter.c
    │           ├── transmitter.h
    │           └── transmitter_defs.h
    ├── pzsdr
    │   ├── ccbrk
    │   │   └── loopback
    │   │       ├── Makefile
    │   │       └── loopback.c
    │   ├── ccfmc
    │   │   └── loopback
    │   │       ├── Makefile
    │   │       └── loopback.c
    │   ├── u-boot-elf-2015.2.patch
    │   └── u-boot-elf-xil-crt0.S.patch
    ├── scripts
    │   ├── microblaze.mk
    │   ├── nios2_capture.tcl
    │   ├── nios_ii.mk
    │   ├── noos.mk
    │   ├── xilinx_capture.tcl
    │   ├── xilinx_xsct.tcl
    │   ├── xsct.tcl
    │   ├── xsdb.tcl
    │   ├── zynq.mk
    │   ├── zynq_ps7.mk
    │   └── zynq_u.mk
    ├── tools
    │   └── scripts
    │       ├── linux.mk
    │       ├── platform
    │       │   ├── altera
    │       │   │   ├── bsp.mk
    │       │   │   ├── environment.bat
    │       │   │   └── environment.sh
    │       │   └── xilinx
    │       │       ├── create_project.tcl
    │       │       ├── environment.bat
    │       │       ├── environment.sh
    │       │       ├── read_hdf.tcl
    │       │       └── upload.tcl
    │       └── windows.mk
    ├── util
    │   ├── fifo.c
    │   ├── util.c
    │   └── xml.c
    └── v4l2_config
        ├── Makefile
        ├── example.c
        ├── v4l2_config.c
        └── v4l2_config.h

579 directories, 1989 files


from clipboard

ad9361.c

ad9361_api.c

ad9361_conv.c


实例下载地址

ad9361无操作系统驱动

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警