在好例子网,分享、交流、成长!
您当前所在位置:首页C/C++ 开发实例嵌入式开发 → Xilinx A7 35T 软核串口中断

Xilinx A7 35T 软核串口中断

嵌入式开发

下载此实例
  • 开发语言:C/C++
  • 实例大小:41.23M
  • 下载次数:5
  • 浏览次数:108
  • 发布时间:2022-05-01
  • 实例类别:嵌入式开发
  • 发 布 人:robinwy
  • 文件格式:.zip
  • 所需积分:2
 相关标签: XILINX Microblaze Uart

实例介绍

【实例简介】Xilinx A7 35T 软核串口中断

用Microblaze软核在Xilinx下实现串口中断程序

【实例截图】

from clipboard

【核心代码】

.
├── ]Xilinx A7 35T 软核串口中断.zip
├── uart_interrupt.cache
│   ├── compile_simlib
│   │   ├── activehdl
│   │   ├── ies
│   │   ├── modelsim
│   │   ├── questa
│   │   ├── riviera
│   │   ├── vcs
│   │   └── xcelium
│   ├── ip
│   │   └── 2019.2
│   │       ├── 0c16fcb3cddeb20d
│   │       │   ├── 0c16fcb3cddeb20d.xci
│   │       │   ├── system_microblaze_0_0.dcp
│   │       │   ├── system_microblaze_0_0_sim_netlist.v
│   │       │   ├── system_microblaze_0_0_sim_netlist.vhdl
│   │       │   ├── system_microblaze_0_0_stub.v
│   │       │   └── system_microblaze_0_0_stub.vhdl
│   │       ├── 33549d59b3b6d3d8
│   │       │   ├── 33549d59b3b6d3d8.xci
│   │       │   ├── system_xbar_0.dcp
│   │       │   ├── system_xbar_0_sim_netlist.v
│   │       │   ├── system_xbar_0_sim_netlist.vhdl
│   │       │   ├── system_xbar_0_stub.v
│   │       │   └── system_xbar_0_stub.vhdl
│   │       └── a66408cb62c6c805
│   │           ├── a66408cb62c6c805.xci
│   │           ├── system_axi_intc_0_0.dcp
│   │           ├── system_axi_intc_0_0_sim_netlist.v
│   │           ├── system_axi_intc_0_0_sim_netlist.vhdl
│   │           ├── system_axi_intc_0_0_stub.v
│   │           └── system_axi_intc_0_0_stub.vhdl
│   └── wt
│       ├── gui_handlers.wdf
│       ├── java_command_handlers.wdf
│       ├── project.wpc
│       ├── synthesis.wdf
│       ├── synthesis_details.wdf
│       └── webtalk_pa.xml
├── uart_interrupt.hw
│   └── uart_interrupt.lpr
├── uart_interrupt.ip_user_files
│   ├── README.txt
│   ├── bd
│   │   └── system
│   │       ├── ip
│   │       │   ├── system_axi_intc_0_0
│   │       │   │   └── sim
│   │       │   │       └── system_axi_intc_0_0.vhd
│   │       │   ├── system_axi_uartlite_0_0
│   │       │   │   ├── sim
│   │       │   │   │   └── system_axi_uartlite_0_0.vhd
│   │       │   │   ├── system_axi_uartlite_0_0_sim_netlist.v
│   │       │   │   └── system_axi_uartlite_0_0_sim_netlist.vhdl
│   │       │   ├── system_clk_wiz_1_0
│   │       │   │   ├── system_clk_wiz_1_0.v
│   │       │   │   ├── system_clk_wiz_1_0_clk_wiz.v
│   │       │   │   ├── system_clk_wiz_1_0_sim_netlist.v
│   │       │   │   └── system_clk_wiz_1_0_sim_netlist.vhdl
│   │       │   ├── system_dlmb_bram_if_cntlr_0
│   │       │   │   ├── sim
│   │       │   │   │   └── system_dlmb_bram_if_cntlr_0.vhd
│   │       │   │   ├── system_dlmb_bram_if_cntlr_0_sim_netlist.v
│   │       │   │   └── system_dlmb_bram_if_cntlr_0_sim_netlist.vhdl
│   │       │   ├── system_dlmb_v10_0
│   │       │   │   ├── sim
│   │       │   │   │   └── system_dlmb_v10_0.vhd
│   │       │   │   ├── system_dlmb_v10_0_sim_netlist.v
│   │       │   │   └── system_dlmb_v10_0_sim_netlist.vhdl
│   │       │   ├── system_ilmb_bram_if_cntlr_0
│   │       │   │   ├── sim
│   │       │   │   │   └── system_ilmb_bram_if_cntlr_0.vhd
│   │       │   │   ├── system_ilmb_bram_if_cntlr_0_sim_netlist.v
│   │       │   │   └── system_ilmb_bram_if_cntlr_0_sim_netlist.vhdl
│   │       │   ├── system_ilmb_v10_0
│   │       │   │   ├── sim
│   │       │   │   │   └── system_ilmb_v10_0.vhd
│   │       │   │   ├── system_ilmb_v10_0_sim_netlist.v
│   │       │   │   └── system_ilmb_v10_0_sim_netlist.vhdl
│   │       │   ├── system_lmb_bram_0
│   │       │   │   ├── sim
│   │       │   │   │   └── system_lmb_bram_0.v
│   │       │   │   ├── system_lmb_bram_0_sim_netlist.v
│   │       │   │   └── system_lmb_bram_0_sim_netlist.vhdl
│   │       │   ├── system_mdm_1_0
│   │       │   │   ├── sim
│   │       │   │   │   └── system_mdm_1_0.vhd
│   │       │   │   ├── system_mdm_1_0_sim_netlist.v
│   │       │   │   └── system_mdm_1_0_sim_netlist.vhdl
│   │       │   ├── system_microblaze_0_0
│   │       │   │   ├── data
│   │       │   │   │   └── mb_bootloop_le.elf
│   │       │   │   ├── sim
│   │       │   │   │   └── system_microblaze_0_0.vhd
│   │       │   │   ├── system_microblaze_0_0_sim_netlist.v
│   │       │   │   └── system_microblaze_0_0_sim_netlist.vhdl
│   │       │   ├── system_rst_clk_wiz_1_100M_0
│   │       │   │   ├── sim
│   │       │   │   │   └── system_rst_clk_wiz_1_100M_0.vhd
│   │       │   │   ├── system_rst_clk_wiz_1_100M_0_sim_netlist.v
│   │       │   │   └── system_rst_clk_wiz_1_100M_0_sim_netlist.vhdl
│   │       │   └── system_xbar_0
│   │       │       ├── sim
│   │       │       │   └── system_xbar_0.v
│   │       │       ├── system_xbar_0_sim_netlist.v
│   │       │       └── system_xbar_0_sim_netlist.vhdl
│   │       ├── sim
│   │       │   ├── system.protoinst
│   │       │   └── system.v
│   │       └── system.bmm
│   └── sim_scripts
│       └── system
│           ├── README.txt
│           ├── activehdl
│           │   ├── README.txt
│           │   ├── compile.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── simulate.do
│           │   ├── system.sh
│           │   ├── system.udo
│           │   ├── system_lmb_bram_0.mem
│           │   └── wave.do
│           ├── ies
│           │   ├── README.txt
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── run.f
│           │   ├── system.sh
│           │   └── system_lmb_bram_0.mem
│           ├── modelsim
│           │   ├── README.txt
│           │   ├── compile.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── simulate.do
│           │   ├── system.sh
│           │   ├── system.udo
│           │   ├── system_lmb_bram_0.mem
│           │   └── wave.do
│           ├── questa
│           │   ├── README.txt
│           │   ├── compile.do
│           │   ├── elaborate.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── simulate.do
│           │   ├── system.sh
│           │   ├── system.udo
│           │   ├── system_lmb_bram_0.mem
│           │   └── wave.do
│           ├── riviera
│           │   ├── README.txt
│           │   ├── compile.do
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── simulate.do
│           │   ├── system.sh
│           │   ├── system.udo
│           │   ├── system_lmb_bram_0.mem
│           │   └── wave.do
│           ├── vcs
│           │   ├── README.txt
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── simulate.do
│           │   ├── system.sh
│           │   └── system_lmb_bram_0.mem
│           ├── xcelium
│           │   ├── README.txt
│           │   ├── file_info.txt
│           │   ├── glbl.v
│           │   ├── run.f
│           │   ├── system.sh
│           │   └── system_lmb_bram_0.mem
│           └── xsim
│               ├── README.txt
│               ├── cmd.tcl
│               ├── elab.opt
│               ├── file_info.txt
│               ├── glbl.v
│               ├── protoinst_files
│               │   └── system.protoinst
│               ├── system.sh
│               ├── system_lmb_bram_0.mem
│               ├── uart_interrupt.smi
│               ├── vhdl.prj
│               ├── vlog.prj
│               └── xsim.ini
├── uart_interrupt.runs
│   ├── impl_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── init_design.pb
│   │   ├── opt_design.pb
│   │   ├── place_design.pb
│   │   ├── project.wdf
│   │   ├── route_design.pb
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_wrapper.bin
│   │   ├── system_wrapper.bit
│   │   ├── system_wrapper.hwdef
│   │   ├── system_wrapper.mmi
│   │   ├── system_wrapper.tcl
│   │   ├── system_wrapper.vdi
│   │   ├── system_wrapper_bd.bmm
│   │   ├── system_wrapper_bus_skew_routed.pb
│   │   ├── system_wrapper_bus_skew_routed.rpt
│   │   ├── system_wrapper_bus_skew_routed.rpx
│   │   ├── system_wrapper_clock_utilization_routed.rpt
│   │   ├── system_wrapper_control_sets_placed.rpt
│   │   ├── system_wrapper_drc_opted.pb
│   │   ├── system_wrapper_drc_opted.rpt
│   │   ├── system_wrapper_drc_opted.rpx
│   │   ├── system_wrapper_drc_routed.pb
│   │   ├── system_wrapper_drc_routed.rpt
│   │   ├── system_wrapper_drc_routed.rpx
│   │   ├── system_wrapper_io_placed.rpt
│   │   ├── system_wrapper_methodology_drc_routed.pb
│   │   ├── system_wrapper_methodology_drc_routed.rpt
│   │   ├── system_wrapper_methodology_drc_routed.rpx
│   │   ├── system_wrapper_opt.dcp
│   │   ├── system_wrapper_placed.dcp
│   │   ├── system_wrapper_power_routed.rpt
│   │   ├── system_wrapper_power_routed.rpx
│   │   ├── system_wrapper_power_summary_routed.pb
│   │   ├── system_wrapper_route_status.pb
│   │   ├── system_wrapper_route_status.rpt
│   │   ├── system_wrapper_routed.dcp
│   │   ├── system_wrapper_timing_summary_routed.pb
│   │   ├── system_wrapper_timing_summary_routed.rpt
│   │   ├── system_wrapper_timing_summary_routed.rpx
│   │   ├── system_wrapper_utilization_placed.pb
│   │   ├── system_wrapper_utilization_placed.rpt
│   │   ├── usage_statistics_webtalk.html
│   │   ├── usage_statistics_webtalk.xml
│   │   ├── vivado.jou
│   │   ├── vivado.pb
│   │   └── write_bitstream.pb
│   ├── synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_wrapper.dcp
│   │   ├── system_wrapper.tcl
│   │   ├── system_wrapper.vds
│   │   ├── system_wrapper_utilization_synth.pb
│   │   ├── system_wrapper_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_axi_intc_0_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_axi_intc_0_0.dcp
│   │   ├── system_axi_intc_0_0.tcl
│   │   ├── system_axi_intc_0_0.vds
│   │   ├── system_axi_intc_0_0_utilization_synth.pb
│   │   ├── system_axi_intc_0_0_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_axi_uartlite_0_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_axi_uartlite_0_0.dcp
│   │   ├── system_axi_uartlite_0_0.tcl
│   │   ├── system_axi_uartlite_0_0.vds
│   │   ├── system_axi_uartlite_0_0_utilization_synth.pb
│   │   ├── system_axi_uartlite_0_0_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_clk_wiz_1_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_clk_wiz_1_0.dcp
│   │   ├── system_clk_wiz_1_0.tcl
│   │   ├── system_clk_wiz_1_0.vds
│   │   ├── system_clk_wiz_1_0_utilization_synth.pb
│   │   ├── system_clk_wiz_1_0_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_dlmb_bram_if_cntlr_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_dlmb_bram_if_cntlr_0.dcp
│   │   ├── system_dlmb_bram_if_cntlr_0.tcl
│   │   ├── system_dlmb_bram_if_cntlr_0.vds
│   │   ├── system_dlmb_bram_if_cntlr_0_utilization_synth.pb
│   │   ├── system_dlmb_bram_if_cntlr_0_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_dlmb_v10_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_dlmb_v10_0.dcp
│   │   ├── system_dlmb_v10_0.tcl
│   │   ├── system_dlmb_v10_0.vds
│   │   ├── system_dlmb_v10_0_utilization_synth.pb
│   │   ├── system_dlmb_v10_0_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_ilmb_bram_if_cntlr_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_ilmb_bram_if_cntlr_0.dcp
│   │   ├── system_ilmb_bram_if_cntlr_0.tcl
│   │   ├── system_ilmb_bram_if_cntlr_0.vds
│   │   ├── system_ilmb_bram_if_cntlr_0_utilization_synth.pb
│   │   ├── system_ilmb_bram_if_cntlr_0_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_ilmb_v10_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_ilmb_v10_0.dcp
│   │   ├── system_ilmb_v10_0.tcl
│   │   ├── system_ilmb_v10_0.vds
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_lmb_bram_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_lmb_bram_0.dcp
│   │   ├── system_lmb_bram_0.tcl
│   │   ├── system_lmb_bram_0.vds
│   │   ├── system_lmb_bram_0_utilization_synth.pb
│   │   ├── system_lmb_bram_0_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_mdm_1_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_mdm_1_0.dcp
│   │   ├── system_mdm_1_0.tcl
│   │   ├── system_mdm_1_0.vds
│   │   ├── system_mdm_1_0_utilization_synth.pb
│   │   ├── system_mdm_1_0_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_microblaze_0_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_microblaze_0_0.dcp
│   │   ├── system_microblaze_0_0.tcl
│   │   ├── system_microblaze_0_0.vds
│   │   ├── system_microblaze_0_0_utilization_synth.pb
│   │   ├── system_microblaze_0_0_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   ├── system_rst_clk_wiz_1_100M_0_synth_1
│   │   ├── ISEWrap.js
│   │   ├── ISEWrap.sh
│   │   ├── __synthesis_is_complete__
│   │   ├── dont_touch.xdc
│   │   ├── gen_run.xml
│   │   ├── htr.txt
│   │   ├── project.wdf
│   │   ├── rundef.js
│   │   ├── runme.bat
│   │   ├── runme.log
│   │   ├── runme.sh
│   │   ├── system_rst_clk_wiz_1_100M_0.dcp
│   │   ├── system_rst_clk_wiz_1_100M_0.tcl
│   │   ├── system_rst_clk_wiz_1_100M_0.vds
│   │   ├── system_rst_clk_wiz_1_100M_0_utilization_synth.pb
│   │   ├── system_rst_clk_wiz_1_100M_0_utilization_synth.rpt
│   │   ├── vivado.jou
│   │   └── vivado.pb
│   └── system_xbar_0_synth_1
│       ├── ISEWrap.js
│       ├── ISEWrap.sh
│       ├── __synthesis_is_complete__
│       ├── dont_touch.xdc
│       ├── gen_run.xml
│       ├── htr.txt
│       ├── project.wdf
│       ├── rundef.js
│       ├── runme.bat
│       ├── runme.log
│       ├── runme.sh
│       ├── system_xbar_0.dcp
│       ├── system_xbar_0.tcl
│       ├── system_xbar_0.vds
│       ├── system_xbar_0_utilization_synth.pb
│       ├── system_xbar_0_utilization_synth.rpt
│       ├── vivado.jou
│       └── vivado.pb
├── uart_interrupt.sdk
│   ├── RemoteSystemsTempFiles
│   ├── SDK.log
│   ├── hello_world
│   │   ├── Debug
│   │   │   ├── hello_world.elf
│   │   │   ├── hello_world.elf.size
│   │   │   ├── makefile
│   │   │   ├── objects.mk
│   │   │   ├── sources.mk
│   │   │   └── src
│   │   │       ├── helloworld.d
│   │   │       ├── helloworld.o
│   │   │       ├── platform.d
│   │   │       ├── platform.o
│   │   │       └── subdir.mk
│   │   └── src
│   │       ├── helloworld.c
│   │       ├── lscript.ld
│   │       ├── platform.c
│   │       ├── platform.h
│   │       └── platform_config.h
│   ├── hello_world_bsp
│   │   ├── Makefile
│   │   ├── microblaze_0
│   │   │   ├── code
│   │   │   ├── include
│   │   │   │   ├── _profile_timer_hw.h
│   │   │   │   ├── bspconfig.h
│   │   │   │   ├── fsl.h
│   │   │   │   ├── mb_interface.h
│   │   │   │   ├── mblaze_nt_types.h
│   │   │   │   ├── microblaze_exceptions_g.h
│   │   │   │   ├── microblaze_exceptions_i.h
│   │   │   │   ├── microblaze_instructions.h
│   │   │   │   ├── microblaze_interrupts_i.h
│   │   │   │   ├── microblaze_sleep.h
│   │   │   │   ├── profile.h
│   │   │   │   ├── pvr.h
│   │   │   │   ├── sleep.h
│   │   │   │   ├── xbasic_types.h
│   │   │   │   ├── xbram.h
│   │   │   │   ├── xbram_hw.h
│   │   │   │   ├── xdebug.h
│   │   │   │   ├── xenv.h
│   │   │   │   ├── xenv_standalone.h
│   │   │   │   ├── xil_assert.h
│   │   │   │   ├── xil_cache.h
│   │   │   │   ├── xil_cache_vxworks.h
│   │   │   │   ├── xil_exception.h
│   │   │   │   ├── xil_hal.h
│   │   │   │   ├── xil_io.h
│   │   │   │   ├── xil_macroback.h
│   │   │   │   ├── xil_mem.h
│   │   │   │   ├── xil_misc_psreset_api.h
│   │   │   │   ├── xil_printf.h
│   │   │   │   ├── xil_testcache.h
│   │   │   │   ├── xil_testio.h
│   │   │   │   ├── xil_testmem.h
│   │   │   │   ├── xil_types.h
│   │   │   │   ├── xio.h
│   │   │   │   ├── xparameters.h
│   │   │   │   ├── xplatform_info.h
│   │   │   │   ├── xstatus.h
│   │   │   │   ├── xuartlite.h
│   │   │   │   ├── xuartlite_i.h
│   │   │   │   └── xuartlite_l.h
│   │   │   ├── lib
│   │   │   │   ├── libc.a
│   │   │   │   ├── libgcc.a
│   │   │   │   ├── libgloss.a
│   │   │   │   ├── libm.a
│   │   │   │   └── libxil.a
│   │   │   └── libsrc
│   │   │       ├── bram_v4_2
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── xbram.c
│   │   │       │       ├── xbram.h
│   │   │       │       ├── xbram_g.c
│   │   │       │       ├── xbram_hw.h
│   │   │       │       ├── xbram_intr.c
│   │   │       │       ├── xbram_selftest.c
│   │   │       │       └── xbram_sinit.c
│   │   │       ├── cpu_v2_8
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── fsl.h
│   │   │       │       ├── xio.c
│   │   │       │       └── xio.h
│   │   │       ├── standalone_v6_8
│   │   │       │   └── src
│   │   │       │       ├── Makefile
│   │   │       │       ├── _exit.c
│   │   │       │       ├── _exit.o
│   │   │       │       ├── bspconfig.h
│   │   │       │       ├── changelog.txt
│   │   │       │       ├── config.make
│   │   │       │       ├── errno.c
│   │   │       │       ├── errno.o
│   │   │       │       ├── fcntl.c
│   │   │       │       ├── fcntl.o
│   │   │       │       ├── fsl.h
│   │   │       │       ├── hw_exception_handler.S
│   │   │       │       ├── hw_exception_handler.o
│   │   │       │       ├── inbyte.c
│   │   │       │       ├── inbyte.o
│   │   │       │       ├── mb_interface.h
│   │   │       │       ├── microblaze_disable_dcache.S
│   │   │       │       ├── microblaze_disable_dcache.o
│   │   │       │       ├── microblaze_disable_exceptions.S
│   │   │       │       ├── microblaze_disable_exceptions.o
│   │   │       │       ├── microblaze_disable_icache.S
│   │   │       │       ├── microblaze_disable_icache.o
│   │   │       │       ├── microblaze_disable_interrupts.S
│   │   │       │       ├── microblaze_disable_interrupts.o
│   │   │       │       ├── microblaze_enable_dcache.S
│   │   │       │       ├── microblaze_enable_dcache.o
│   │   │       │       ├── microblaze_enable_exceptions.S
│   │   │       │       ├── microblaze_enable_exceptions.o
│   │   │       │       ├── microblaze_enable_icache.S
│   │   │       │       ├── microblaze_enable_icache.o
│   │   │       │       ├── microblaze_enable_interrupts.S
│   │   │       │       ├── microblaze_enable_interrupts.o
│   │   │       │       ├── microblaze_exception_handler.c
│   │   │       │       ├── microblaze_exception_handler.o
│   │   │       │       ├── microblaze_exceptions_g.h
│   │   │       │       ├── microblaze_exceptions_i.h
│   │   │       │       ├── microblaze_flush_cache_ext.S
│   │   │       │       ├── microblaze_flush_cache_ext.o
│   │   │       │       ├── microblaze_flush_cache_ext_range.S
│   │   │       │       ├── microblaze_flush_cache_ext_range.o
│   │   │       │       ├── microblaze_flush_dcache.S
│   │   │       │       ├── microblaze_flush_dcache.o
│   │   │       │       ├── microblaze_flush_dcache_range.S
│   │   │       │       ├── microblaze_flush_dcache_range.o
│   │   │       │       ├── microblaze_init_dcache_range.S
│   │   │       │       ├── microblaze_init_dcache_range.o
│   │   │       │       ├── microblaze_init_icache_range.S
│   │   │       │       ├── microblaze_init_icache_range.o
│   │   │       │       ├── microblaze_instructions.h
│   │   │       │       ├── microblaze_interrupt_handler.c
│   │   │       │       ├── microblaze_interrupt_handler.o
│   │   │       │       ├── microblaze_interrupts_g.c
│   │   │       │       ├── microblaze_interrupts_g.o
│   │   │       │       ├── microblaze_interrupts_i.h
│   │   │       │       ├── microblaze_invalidate_cache_ext.S
│   │   │       │       ├── microblaze_invalidate_cache_ext.o
│   │   │       │       ├── microblaze_invalidate_cache_ext_range.S
│   │   │       │       ├── microblaze_invalidate_cache_ext_range.o
│   │   │       │       ├── microblaze_invalidate_dcache.S
│   │   │       │       ├── microblaze_invalidate_dcache.o
│   │   │       │       ├── microblaze_invalidate_dcache_range.S
│   │   │       │       ├── microblaze_invalidate_dcache_range.o
│   │   │       │       ├── microblaze_invalidate_icache.S
│   │   │       │       ├── microblaze_invalidate_icache.o
│   │   │       │       ├── microblaze_invalidate_icache_range.S
│   │   │       │       ├── microblaze_invalidate_icache_range.o
│   │   │       │       ├── microblaze_scrub.S
│   │   │       │       ├── microblaze_scrub.o
│   │   │       │       ├── microblaze_sleep.c
│   │   │       │       ├── microblaze_sleep.h
│   │   │       │       ├── microblaze_sleep.o
│   │   │       │       ├── microblaze_update_dcache.S
│   │   │       │       ├── microblaze_update_dcache.o
│   │   │       │       ├── microblaze_update_icache.S
│   │   │       │       ├── microblaze_update_icache.o
│   │   │       │       ├── outbyte.c
│   │   │       │       ├── outbyte.o
│   │   │       │       ├── print.c
│   │   │       │       ├── print.o
│   │   │       │       ├── profile
│   │   │       │       │   ├── Makefile
│   │   │       │       │   ├── _profile_clean.c
│   │   │       │       │   ├── _profile_init.c
│   │   │       │       │   ├── _profile_timer_hw.c
│   │   │       │       │   ├── _profile_timer_hw.h
│   │   │       │       │   ├── dummy.S
│   │   │       │       │   ├── mblaze_nt_types.h
│   │   │       │       │   ├── profile.h
│   │   │       │       │   ├── profile_cg.c
│   │   │       │       │   ├── profile_config.h
│   │   │       │       │   ├── profile_hist.c
│   │   │       │       │   ├── profile_mcount_arm.S
│   │   │       │       │   ├── profile_mcount_mb.S
│   │   │       │       │   └── profile_mcount_ppc.S
│   │   │       │       ├── pvr.c
│   │   │       │       ├── pvr.h
│   │   │       │       ├── pvr.o
│   │   │       │       ├── sleep.h
│   │   │       │       ├── xbasic_types.h
│   │   │       │       ├── xdebug.h
│   │   │       │       ├── xenv.h
│   │   │       │       ├── xenv_standalone.h
│   │   │       │       ├── xil_assert.c
│   │   │       │       ├── xil_assert.h
│   │   │       │       ├── xil_assert.o
│   │   │       │       ├── xil_cache.c
│   │   │       │       ├── xil_cache.h
│   │   │       │       ├── xil_cache.o
│   │   │       │       ├── xil_cache_vxworks.h
│   │   │       │       ├── xil_exception.c
│   │   │       │       ├── xil_exception.h
│   │   │       │       ├── xil_exception.o
│   │   │       │       ├── xil_hal.h
│   │   │       │       ├── xil_io.c
│   │   │       │       ├── xil_io.h
│   │   │       │       ├── xil_io.o
│   │   │       │       ├── xil_macroback.h
│   │   │       │       ├── xil_mem.c
│   │   │       │       ├── xil_mem.h
│   │   │       │       ├── xil_mem.o
│   │   │       │       ├── xil_misc_psreset_api.c
│   │   │       │       ├── xil_misc_psreset_api.h
│   │   │       │       ├── xil_misc_psreset_api.o
│   │   │       │       ├── xil_printf.c
│   │   │       │       ├── xil_printf.h
│   │   │       │       ├── xil_printf.o
│   │   │       │       ├── xil_sleepcommon.c
│   │   │       │       ├── xil_sleepcommon.o
│   │   │       │       ├── xil_testcache.c
│   │   │       │       ├── xil_testcache.h
│   │   │       │       ├── xil_testcache.o
│   │   │       │       ├── xil_testio.c
│   │   │       │       ├── xil_testio.h
│   │   │       │       ├── xil_testio.o
│   │   │       │       ├── xil_testmem.c
│   │   │       │       ├── xil_testmem.h
│   │   │       │       ├── xil_testmem.o
│   │   │       │       ├── xil_types.h
│   │   │       │       ├── xplatform_info.c
│   │   │       │       ├── xplatform_info.h
│   │   │       │       ├── xplatform_info.o
│   │   │       │       └── xstatus.h
│   │   │       └── uartlite_v3_2
│   │   │           └── src
│   │   │               ├── Makefile
│   │   │               ├── xuartlite.c
│   │   │               ├── xuartlite.h
│   │   │               ├── xuartlite_g.c
│   │   │               ├── xuartlite_i.h
│   │   │               ├── xuartlite_intr.c
│   │   │               ├── xuartlite_l.c
│   │   │               ├── xuartlite_l.h
│   │   │               ├── xuartlite_selftest.c
│   │   │               ├── xuartlite_sinit.c
│   │   │               └── xuartlite_stats.c
│   │   └── system.mss
│   ├── system_wrapper.hdf
│   ├── system_wrapper_hw_platform_0
│   │   ├── system.hdf
│   │   ├── system_wrapper.bit
│   │   └── system_wrapper.mmi
│   └── webtalk
│       ├── sdk_webtalk.tcl
│       ├── sdk_webtalk.wdm
│       ├── usage_statistics_ext_sdk.html
│       ├── usage_statistics_ext_sdk.xml
│       ├── webtalk.jou
│       └── webtalk.log
├── uart_interrupt.sim
├── uart_interrupt.srcs
│   ├── constrs_1
│   │   └── new
│   │       └── system_wrapper.xdc
│   └── sources_1
│       └── bd
│           └── system
│               ├── hdl
│               │   └── system_wrapper.v
│               ├── hw_handoff
│               │   ├── system.hwh
│               │   └── system_bd.tcl
│               ├── ip
│               │   ├── system_axi_intc_0_0
│               │   │   ├── sim
│               │   │   │   └── system_axi_intc_0_0.vhd
│               │   │   ├── synth
│               │   │   │   └── system_axi_intc_0_0.vhd
│               │   │   ├── system_axi_intc_0_0.dcp
│               │   │   ├── system_axi_intc_0_0.xci
│               │   │   ├── system_axi_intc_0_0.xdc
│               │   │   ├── system_axi_intc_0_0.xml
│               │   │   ├── system_axi_intc_0_0_clocks.xdc
│               │   │   ├── system_axi_intc_0_0_ooc.xdc
│               │   │   ├── system_axi_intc_0_0_sim_netlist.v
│               │   │   ├── system_axi_intc_0_0_sim_netlist.vhdl
│               │   │   ├── system_axi_intc_0_0_stub.v
│               │   │   └── system_axi_intc_0_0_stub.vhdl
│               │   ├── system_axi_uartlite_0_0
│               │   │   ├── sim
│               │   │   │   └── system_axi_uartlite_0_0.vhd
│               │   │   ├── synth
│               │   │   │   └── system_axi_uartlite_0_0.vhd
│               │   │   ├── system_axi_uartlite_0_0.dcp
│               │   │   ├── system_axi_uartlite_0_0.xci
│               │   │   ├── system_axi_uartlite_0_0.xdc
│               │   │   ├── system_axi_uartlite_0_0.xml
│               │   │   ├── system_axi_uartlite_0_0_board.xdc
│               │   │   ├── system_axi_uartlite_0_0_ooc.xdc
│               │   │   ├── system_axi_uartlite_0_0_sim_netlist.v
│               │   │   ├── system_axi_uartlite_0_0_sim_netlist.vhdl
│               │   │   ├── system_axi_uartlite_0_0_stub.v
│               │   │   └── system_axi_uartlite_0_0_stub.vhdl
│               │   ├── system_clk_wiz_1_0
│               │   │   ├── system_clk_wiz_1_0.dcp
│               │   │   ├── system_clk_wiz_1_0.v
│               │   │   ├── system_clk_wiz_1_0.xci
│               │   │   ├── system_clk_wiz_1_0.xdc
│               │   │   ├── system_clk_wiz_1_0.xml
│               │   │   ├── system_clk_wiz_1_0_board.xdc
│               │   │   ├── system_clk_wiz_1_0_clk_wiz.v
│               │   │   ├── system_clk_wiz_1_0_ooc.xdc
│               │   │   ├── system_clk_wiz_1_0_sim_netlist.v
│               │   │   ├── system_clk_wiz_1_0_sim_netlist.vhdl
│               │   │   ├── system_clk_wiz_1_0_stub.v
│               │   │   └── system_clk_wiz_1_0_stub.vhdl
│               │   ├── system_dlmb_bram_if_cntlr_0
│               │   │   ├── sim
│               │   │   │   └── system_dlmb_bram_if_cntlr_0.vhd
│               │   │   ├── synth
│               │   │   │   └── system_dlmb_bram_if_cntlr_0.vhd
│               │   │   ├── system_dlmb_bram_if_cntlr_0.dcp
│               │   │   ├── system_dlmb_bram_if_cntlr_0.xci
│               │   │   ├── system_dlmb_bram_if_cntlr_0.xml
│               │   │   ├── system_dlmb_bram_if_cntlr_0_ooc.xdc
│               │   │   ├── system_dlmb_bram_if_cntlr_0_sim_netlist.v
│               │   │   ├── system_dlmb_bram_if_cntlr_0_sim_netlist.vhdl
│               │   │   ├── system_dlmb_bram_if_cntlr_0_stub.v
│               │   │   └── system_dlmb_bram_if_cntlr_0_stub.vhdl
│               │   ├── system_dlmb_v10_0
│               │   │   ├── sim
│               │   │   │   └── system_dlmb_v10_0.vhd
│               │   │   ├── synth
│               │   │   │   └── system_dlmb_v10_0.vhd
│               │   │   ├── system_dlmb_v10_0.dcp
│               │   │   ├── system_dlmb_v10_0.xci
│               │   │   ├── system_dlmb_v10_0.xdc
│               │   │   ├── system_dlmb_v10_0.xml
│               │   │   ├── system_dlmb_v10_0_ooc.xdc
│               │   │   ├── system_dlmb_v10_0_sim_netlist.v
│               │   │   ├── system_dlmb_v10_0_sim_netlist.vhdl
│               │   │   ├── system_dlmb_v10_0_stub.v
│               │   │   └── system_dlmb_v10_0_stub.vhdl
│               │   ├── system_ilmb_bram_if_cntlr_0
│               │   │   ├── sim
│               │   │   │   └── system_ilmb_bram_if_cntlr_0.vhd
│               │   │   ├── synth
│               │   │   │   └── system_ilmb_bram_if_cntlr_0.vhd
│               │   │   ├── system_ilmb_bram_if_cntlr_0.dcp
│               │   │   ├── system_ilmb_bram_if_cntlr_0.xci
│               │   │   ├── system_ilmb_bram_if_cntlr_0.xml
│               │   │   ├── system_ilmb_bram_if_cntlr_0_ooc.xdc
│               │   │   ├── system_ilmb_bram_if_cntlr_0_sim_netlist.v
│               │   │   ├── system_ilmb_bram_if_cntlr_0_sim_netlist.vhdl
│               │   │   ├── system_ilmb_bram_if_cntlr_0_stub.v
│               │   │   └── system_ilmb_bram_if_cntlr_0_stub.vhdl
│               │   ├── system_ilmb_v10_0
│               │   │   ├── sim
│               │   │   │   └── system_ilmb_v10_0.vhd
│               │   │   ├── synth
│               │   │   │   └── system_ilmb_v10_0.vhd
│               │   │   ├── system_ilmb_v10_0.dcp
│               │   │   ├── system_ilmb_v10_0.xci
│               │   │   ├── system_ilmb_v10_0.xdc
│               │   │   ├── system_ilmb_v10_0.xml
│               │   │   ├── system_ilmb_v10_0_ooc.xdc
│               │   │   ├── system_ilmb_v10_0_sim_netlist.v
│               │   │   ├── system_ilmb_v10_0_sim_netlist.vhdl
│               │   │   ├── system_ilmb_v10_0_stub.v
│               │   │   └── system_ilmb_v10_0_stub.vhdl
│               │   ├── system_lmb_bram_0
│               │   │   ├── sim
│               │   │   │   └── system_lmb_bram_0.v
│               │   │   ├── synth
│               │   │   │   └── system_lmb_bram_0.vhd
│               │   │   ├── system_lmb_bram_0.dcp
│               │   │   ├── system_lmb_bram_0.xci
│               │   │   ├── system_lmb_bram_0.xml
│               │   │   ├── system_lmb_bram_0_ooc.xdc
│               │   │   ├── system_lmb_bram_0_sim_netlist.v
│               │   │   ├── system_lmb_bram_0_sim_netlist.vhdl
│               │   │   ├── system_lmb_bram_0_stub.v
│               │   │   └── system_lmb_bram_0_stub.vhdl
│               │   ├── system_mdm_1_0
│               │   │   ├── sim
│               │   │   │   └── system_mdm_1_0.vhd
│               │   │   ├── synth
│               │   │   │   └── system_mdm_1_0.vhd
│               │   │   ├── system_mdm_1_0.dcp
│               │   │   ├── system_mdm_1_0.xci
│               │   │   ├── system_mdm_1_0.xdc
│               │   │   ├── system_mdm_1_0.xml
│               │   │   ├── system_mdm_1_0_ooc_trace.xdc
│               │   │   ├── system_mdm_1_0_sim_netlist.v
│               │   │   ├── system_mdm_1_0_sim_netlist.vhdl
│               │   │   ├── system_mdm_1_0_stub.v
│               │   │   └── system_mdm_1_0_stub.vhdl
│               │   ├── system_microblaze_0_0
│               │   │   ├── data
│               │   │   │   └── mb_bootloop_le.elf
│               │   │   ├── sim
│               │   │   │   └── system_microblaze_0_0.vhd
│               │   │   ├── synth
│               │   │   │   └── system_microblaze_0_0.vhd
│               │   │   ├── system_microblaze_0_0.dcp
│               │   │   ├── system_microblaze_0_0.xci
│               │   │   ├── system_microblaze_0_0.xdc
│               │   │   ├── system_microblaze_0_0.xml
│               │   │   ├── system_microblaze_0_0_ooc.xdc
│               │   │   ├── system_microblaze_0_0_ooc_debug.xdc
│               │   │   ├── system_microblaze_0_0_sim_netlist.v
│               │   │   ├── system_microblaze_0_0_sim_netlist.vhdl
│               │   │   ├── system_microblaze_0_0_stub.v
│               │   │   └── system_microblaze_0_0_stub.vhdl
│               │   ├── system_microblaze_0_axi_periph_0
│               │   │   ├── system_microblaze_0_axi_periph_0.xci
│               │   │   └── system_microblaze_0_axi_periph_0.xml
│               │   ├── system_rst_clk_wiz_1_100M_0
│               │   │   ├── sim
│               │   │   │   └── system_rst_clk_wiz_1_100M_0.vhd
│               │   │   ├── synth
│               │   │   │   └── system_rst_clk_wiz_1_100M_0.vhd
│               │   │   ├── system_rst_clk_wiz_1_100M_0.dcp
│               │   │   ├── system_rst_clk_wiz_1_100M_0.xci
│               │   │   ├── system_rst_clk_wiz_1_100M_0.xdc
│               │   │   ├── system_rst_clk_wiz_1_100M_0.xml
│               │   │   ├── system_rst_clk_wiz_1_100M_0_board.xdc
│               │   │   ├── system_rst_clk_wiz_1_100M_0_ooc.xdc
│               │   │   ├── system_rst_clk_wiz_1_100M_0_sim_netlist.v
│               │   │   ├── system_rst_clk_wiz_1_100M_0_sim_netlist.vhdl
│               │   │   ├── system_rst_clk_wiz_1_100M_0_stub.v
│               │   │   └── system_rst_clk_wiz_1_100M_0_stub.vhdl
│               │   └── system_xbar_0
│               │       ├── sim
│               │       │   └── system_xbar_0.v
│               │       ├── synth
│               │       │   └── system_xbar_0.v
│               │       ├── system_xbar_0.dcp
│               │       ├── system_xbar_0.xci
│               │       ├── system_xbar_0.xml
│               │       ├── system_xbar_0_ooc.xdc
│               │       ├── system_xbar_0_sim_netlist.v
│               │       ├── system_xbar_0_sim_netlist.vhdl
│               │       ├── system_xbar_0_stub.v
│               │       └── system_xbar_0_stub.vhdl
│               ├── ipshared
│               │   ├── 0513
│               │   │   └── hdl
│               │   │       └── lib_pkg_v1_0_rfs.vhd
│               │   ├── 276e
│               │   │   ├── hdl
│               │   │   │   ├── fifo_generator_v13_2_rfs.v
│               │   │   │   ├── fifo_generator_v13_2_rfs.vhd
│               │   │   │   └── fifo_generator_v13_2_vhsyn_rfs.vhd
│               │   │   └── simulation
│               │   │       └── fifo_generator_vlog_beh.v
│               │   ├── 2985
│               │   │   ├── hdl
│               │   │   │   └── blk_mem_gen_v8_4_vhsyn_rfs.vhd
│               │   │   └── simulation
│               │   │       └── blk_mem_gen_v8_4.v
│               │   ├── 2e88
│               │   │   └── hdl
│               │   │       └── lmb_v10_v3_0_vh_rfs.vhd
│               │   ├── 4fba
│               │   │   ├── mmcm_pll_drp_func_7s_mmcm.vh
│               │   │   ├── mmcm_pll_drp_func_7s_pll.vh
│               │   │   ├── mmcm_pll_drp_func_us_mmcm.vh
│               │   │   ├── mmcm_pll_drp_func_us_pll.vh
│               │   │   ├── mmcm_pll_drp_func_us_plus_mmcm.vh
│               │   │   └── mmcm_pll_drp_func_us_plus_pll.vh
│               │   ├── 51ce
│               │   │   └── hdl
│               │   │       └── lib_srl_fifo_v1_0_rfs.vhd
│               │   ├── 60de
│               │   │   └── hdl
│               │   │       └── axi_data_fifo_v2_1_vl_rfs.v
│               │   ├── 66ea
│               │   │   └── hdl
│               │   │       └── axi_lite_ipif_v3_0_vh_rfs.vhd
│               │   ├── 6b0d
│               │   │   └── hdl
│               │   │       └── axi_crossbar_v2_1_vl_rfs.v
│               │   ├── 72d4
│               │   │   └── hdl
│               │   │       └── axi_register_slice_v2_1_vl_rfs.v
│               │   ├── 8842
│               │   │   └── hdl
│               │   │       └── proc_sys_reset_v5_0_vh_rfs.vhd
│               │   ├── b752
│               │   │   └── hdl
│               │   │       └── generic_baseblocks_v2_1_vl_rfs.v
│               │   ├── d8db
│               │   │   └── hdl
│               │   │       └── axi_uartlite_v2_0_vh_rfs.vhd
│               │   ├── db6f
│               │   │   └── hdl
│               │   │       └── lmb_bram_if_cntlr_v4_0_vh_rfs.vhd
│               │   ├── ec67
│               │   │   └── hdl
│               │   │       ├── axi_infrastructure_v1_1_0.vh
│               │   │       └── axi_infrastructure_v1_1_vl_rfs.v
│               │   ├── ef1e
│               │   │   └── hdl
│               │   │       └── lib_cdc_v1_0_rfs.vhd
│               │   ├── f78a
│               │   │   └── hdl
│               │   │       └── axi_intc_v4_1_vh_rfs.vhd
│               │   ├── f871
│               │   │   └── hdl
│               │   │       └── microblaze_v11_0_vh_rfs.vhd
│               │   └── f9aa
│               │       └── hdl
│               │           └── mdm_v3_2_vh_rfs.vhd
│               ├── sim
│               │   ├── system.protoinst
│               │   └── system.v
│               ├── synth
│               │   ├── system.hwdef
│               │   └── system.v
│               ├── system.bd
│               ├── system.bmm
│               ├── system.bxml
│               ├── system_ooc.xdc
│               └── ui
│                   └── bd_c954508f.ui
├── uart_interrupt.xpr
└── vitis
    ├── IDE.log
    ├── RemoteSystemsTempFiles
    ├── system_wrapper
    │   ├── bitstream
    │   │   └── system_wrapper.bit
    │   ├── export
    │   │   └── system_wrapper
    │   │       ├── hw
    │   │       │   ├── system_wrapper.bit
    │   │       │   ├── system_wrapper.mmi
    │   │       │   └── system_wrapper.xsa
    │   │       ├── sw
    │   │       │   ├── system_wrapper
    │   │       │   │   ├── boot
    │   │       │   │   │   ├── generic.readme
    │   │       │   │   │   └── system_wrapper.bif
    │   │       │   │   └── standalone_domain
    │   │       │   │       ├── bspinclude
    │   │       │   │       │   └── include
    │   │       │   │       │       ├── _profile_timer_hw.h
    │   │       │   │       │       ├── bspconfig.h
    │   │       │   │       │       ├── fsl.h
    │   │       │   │       │       ├── mb_interface.h
    │   │       │   │       │       ├── mblaze_nt_types.h
    │   │       │   │       │       ├── microblaze_exceptions_g.h
    │   │       │   │       │       ├── microblaze_exceptions_i.h
    │   │       │   │       │       ├── microblaze_instructions.h
    │   │       │   │       │       ├── microblaze_interrupts_i.h
    │   │       │   │       │       ├── microblaze_sleep.h
    │   │       │   │       │       ├── profile.h
    │   │       │   │       │       ├── pvr.h
    │   │       │   │       │       ├── sleep.h
    │   │       │   │       │       ├── xbasic_types.h
    │   │       │   │       │       ├── xbram.h
    │   │       │   │       │       ├── xbram_hw.h
    │   │       │   │       │       ├── xdebug.h
    │   │       │   │       │       ├── xenv.h
    │   │       │   │       │       ├── xenv_standalone.h
    │   │       │   │       │       ├── xil_assert.h
    │   │       │   │       │       ├── xil_cache.h
    │   │       │   │       │       ├── xil_cache_vxworks.h
    │   │       │   │       │       ├── xil_exception.h
    │   │       │   │       │       ├── xil_hal.h
    │   │       │   │       │       ├── xil_io.h
    │   │       │   │       │       ├── xil_macroback.h
    │   │       │   │       │       ├── xil_mem.h
    │   │       │   │       │       ├── xil_misc_psreset_api.h
    │   │       │   │       │       ├── xil_printf.h
    │   │       │   │       │       ├── xil_testcache.h
    │   │       │   │       │       ├── xil_testio.h
    │   │       │   │       │       ├── xil_testmem.h
    │   │       │   │       │       ├── xil_types.h
    │   │       │   │       │       ├── xil_util.h
    │   │       │   │       │       ├── xintc.h
    │   │       │   │       │       ├── xintc_i.h
    │   │       │   │       │       ├── xintc_l.h
    │   │       │   │       │       ├── xio.h
    │   │       │   │       │       ├── xparameters.h
    │   │       │   │       │       ├── xplatform_info.h
    │   │       │   │       │       ├── xstatus.h
    │   │       │   │       │       ├── xuartlite.h
    │   │       │   │       │       ├── xuartlite_i.h
    │   │       │   │       │       └── xuartlite_l.h
    │   │       │   │       ├── bsplib
    │   │       │   │       │   └── lib
    │   │       │   │       │       ├── libc.a
    │   │       │   │       │       ├── libgcc.a
    │   │       │   │       │       ├── libgloss.a
    │   │       │   │       │       ├── libm.a
    │   │       │   │       │       └── libxil.a
    │   │       │   │       └── system.mss
    │   │       │   └── system_wrapper.spfm
    │   │       └── system_wrapper.xpfm
    │   ├── hw
    │   │   ├── system_wrapper.bit
    │   │   ├── system_wrapper.mmi
    │   │   └── system_wrapper.xsa
    │   ├── logs
    │   │   └── platform.log
    │   ├── microblaze_0
    │   │   └── standalone_domain
    │   │       └── bsp
    │   │           ├── Makefile
    │   │           ├── microblaze_0
    │   │           │   ├── code
    │   │           │   ├── include
    │   │           │   │   ├── _profile_timer_hw.h
    │   │           │   │   ├── bspconfig.h
    │   │           │   │   ├── fsl.h
    │   │           │   │   ├── mb_interface.h
    │   │           │   │   ├── mblaze_nt_types.h
    │   │           │   │   ├── microblaze_exceptions_g.h
    │   │           │   │   ├── microblaze_exceptions_i.h
    │   │           │   │   ├── microblaze_instructions.h
    │   │           │   │   ├── microblaze_interrupts_i.h
    │   │           │   │   ├── microblaze_sleep.h
    │   │           │   │   ├── profile.h
    │   │           │   │   ├── pvr.h
    │   │           │   │   ├── sleep.h
    │   │           │   │   ├── xbasic_types.h
    │   │           │   │   ├── xbram.h
    │   │           │   │   ├── xbram_hw.h
    │   │           │   │   ├── xdebug.h
    │   │           │   │   ├── xenv.h
    │   │           │   │   ├── xenv_standalone.h
    │   │           │   │   ├── xil_assert.h
    │   │           │   │   ├── xil_cache.h
    │   │           │   │   ├── xil_cache_vxworks.h
    │   │           │   │   ├── xil_exception.h
    │   │           │   │   ├── xil_hal.h
    │   │           │   │   ├── xil_io.h
    │   │           │   │   ├── xil_macroback.h
    │   │           │   │   ├── xil_mem.h
    │   │           │   │   ├── xil_misc_psreset_api.h
    │   │           │   │   ├── xil_printf.h
    │   │           │   │   ├── xil_testcache.h
    │   │           │   │   ├── xil_testio.h
    │   │           │   │   ├── xil_testmem.h
    │   │           │   │   ├── xil_types.h
    │   │           │   │   ├── xil_util.h
    │   │           │   │   ├── xintc.h
    │   │           │   │   ├── xintc_i.h
    │   │           │   │   ├── xintc_l.h
    │   │           │   │   ├── xio.h
    │   │           │   │   ├── xparameters.h
    │   │           │   │   ├── xplatform_info.h
    │   │           │   │   ├── xstatus.h
    │   │           │   │   ├── xuartlite.h
    │   │           │   │   ├── xuartlite_i.h
    │   │           │   │   └── xuartlite_l.h
    │   │           │   ├── lib
    │   │           │   │   ├── libc.a
    │   │           │   │   ├── libgcc.a
    │   │           │   │   ├── libgloss.a
    │   │           │   │   ├── libm.a
    │   │           │   │   └── libxil.a
    │   │           │   └── libsrc
    │   │           │       ├── bram_v4_3
    │   │           │       │   └── src
    │   │           │       │       ├── Makefile
    │   │           │       │       ├── xbram.c
    │   │           │       │       ├── xbram.h
    │   │           │       │       ├── xbram_g.c
    │   │           │       │       ├── xbram_hw.h
    │   │           │       │       ├── xbram_intr.c
    │   │           │       │       ├── xbram_selftest.c
    │   │           │       │       └── xbram_sinit.c
    │   │           │       ├── cpu_v2_10
    │   │           │       │   └── src
    │   │           │       │       ├── Makefile
    │   │           │       │       ├── fsl.h
    │   │           │       │       ├── xio.c
    │   │           │       │       └── xio.h
    │   │           │       ├── intc_v3_10
    │   │           │       │   └── src
    │   │           │       │       ├── Makefile
    │   │           │       │       ├── xintc.c
    │   │           │       │       ├── xintc.h
    │   │           │       │       ├── xintc_g.c
    │   │           │       │       ├── xintc_i.h
    │   │           │       │       ├── xintc_intr.c
    │   │           │       │       ├── xintc_l.c
    │   │           │       │       ├── xintc_l.h
    │   │           │       │       ├── xintc_options.c
    │   │           │       │       └── xintc_selftest.c
    │   │           │       ├── standalone_v7_1
    │   │           │       │   └── src
    │   │           │       │       ├── Makefile
    │   │           │       │       ├── _exit.c
    │   │           │       │       ├── _exit.o
    │   │           │       │       ├── bspconfig.h
    │   │           │       │       ├── changelog.txt
    │   │           │       │       ├── config.make
    │   │           │       │       ├── errno.c
    │   │           │       │       ├── errno.o
    │   │           │       │       ├── fcntl.c
    │   │           │       │       ├── fcntl.o
    │   │           │       │       ├── fsl.h
    │   │           │       │       ├── hw_exception_handler.S
    │   │           │       │       ├── hw_exception_handler.o
    │   │           │       │       ├── inbyte.c
    │   │           │       │       ├── inbyte.o
    │   │           │       │       ├── mb_interface.h
    │   │           │       │       ├── microblaze_disable_dcache.S
    │   │           │       │       ├── microblaze_disable_dcache.o
    │   │           │       │       ├── microblaze_disable_exceptions.S
    │   │           │       │       ├── microblaze_disable_exceptions.o
    │   │           │       │       ├── microblaze_disable_icache.S
    │   │           │       │       ├── microblaze_disable_icache.o
    │   │           │       │       ├── microblaze_disable_interrupts.S
    │   │           │       │       ├── microblaze_disable_interrupts.o
    │   │           │       │       ├── microblaze_enable_dcache.S
    │   │           │       │       ├── microblaze_enable_dcache.o
    │   │           │       │       ├── microblaze_enable_exceptions.S
    │   │           │       │       ├── microblaze_enable_exceptions.o
    │   │           │       │       ├── microblaze_enable_icache.S
    │   │           │       │       ├── microblaze_enable_icache.o
    │   │           │       │       ├── microblaze_enable_interrupts.S
    │   │           │       │       ├── microblaze_enable_interrupts.o
    │   │           │       │       ├── microblaze_exception_handler.c
    │   │           │       │       ├── microblaze_exception_handler.o
    │   │           │       │       ├── microblaze_exceptions_g.h
    │   │           │       │       ├── microblaze_exceptions_i.h
    │   │           │       │       ├── microblaze_flush_cache_ext.S
    │   │           │       │       ├── microblaze_flush_cache_ext.o
    │   │           │       │       ├── microblaze_flush_cache_ext_range.S
    │   │           │       │       ├── microblaze_flush_cache_ext_range.o
    │   │           │       │       ├── microblaze_flush_dcache.S
    │   │           │       │       ├── microblaze_flush_dcache.o
    │   │           │       │       ├── microblaze_flush_dcache_range.S
    │   │           │       │       ├── microblaze_flush_dcache_range.o
    │   │           │       │       ├── microblaze_init_dcache_range.S
    │   │           │       │       ├── microblaze_init_dcache_range.o
    │   │           │       │       ├── microblaze_init_icache_range.S
    │   │           │       │       ├── microblaze_init_icache_range.o
    │   │           │       │       ├── microblaze_instructions.h
    │   │           │       │       ├── microblaze_interrupt_handler.c
    │   │           │       │       ├── microblaze_interrupt_handler.o
    │   │           │       │       ├── microblaze_interrupts_g.c
    │   │           │       │       ├── microblaze_interrupts_g.o
    │   │           │       │       ├── microblaze_interrupts_i.h
    │   │           │       │       ├── microblaze_invalidate_cache_ext.S
    │   │           │       │       ├── microblaze_invalidate_cache_ext.o
    │   │           │       │       ├── microblaze_invalidate_cache_ext_range.S
    │   │           │       │       ├── microblaze_invalidate_cache_ext_range.o
    │   │           │       │       ├── microblaze_invalidate_dcache.S
    │   │           │       │       ├── microblaze_invalidate_dcache.o
    │   │           │       │       ├── microblaze_invalidate_dcache_range.S
    │   │           │       │       ├── microblaze_invalidate_dcache_range.o
    │   │           │       │       ├── microblaze_invalidate_icache.S
    │   │           │       │       ├── microblaze_invalidate_icache.o
    │   │           │       │       ├── microblaze_invalidate_icache_range.S
    │   │           │       │       ├── microblaze_invalidate_icache_range.o
    │   │           │       │       ├── microblaze_scrub.S
    │   │           │       │       ├── microblaze_scrub.o
    │   │           │       │       ├── microblaze_sleep.c
    │   │           │       │       ├── microblaze_sleep.h
    │   │           │       │       ├── microblaze_sleep.o
    │   │           │       │       ├── microblaze_update_dcache.S
    │   │           │       │       ├── microblaze_update_dcache.o
    │   │           │       │       ├── microblaze_update_icache.S
    │   │           │       │       ├── microblaze_update_icache.o
    │   │           │       │       ├── outbyte.c
    │   │           │       │       ├── outbyte.o
    │   │           │       │       ├── print.c
    │   │           │       │       ├── print.o
    │   │           │       │       ├── profile
    │   │           │       │       │   ├── Makefile
    │   │           │       │       │   ├── _profile_clean.c
    │   │           │       │       │   ├── _profile_init.c
    │   │           │       │       │   ├── _profile_timer_hw.c
    │   │           │       │       │   ├── _profile_timer_hw.h
    │   │           │       │       │   ├── dummy.S
    │   │           │       │       │   ├── mblaze_nt_types.h
    │   │           │       │       │   ├── profile.h
    │   │           │       │       │   ├── profile_cg.c
    │   │           │       │       │   ├── profile_config.h
    │   │           │       │       │   ├── profile_hist.c
    │   │           │       │       │   ├── profile_mcount_arm.S
    │   │           │       │       │   ├── profile_mcount_mb.S
    │   │           │       │       │   └── profile_mcount_ppc.S
    │   │           │       │       ├── pvr.c
    │   │           │       │       ├── pvr.h
    │   │           │       │       ├── pvr.o
    │   │           │       │       ├── sleep.h
    │   │           │       │       ├── xbasic_types.h
    │   │           │       │       ├── xdebug.h
    │   │           │       │       ├── xenv.h
    │   │           │       │       ├── xenv_standalone.h
    │   │           │       │       ├── xil_assert.c
    │   │           │       │       ├── xil_assert.h
    │   │           │       │       ├── xil_assert.o
    │   │           │       │       ├── xil_cache.c
    │   │           │       │       ├── xil_cache.h
    │   │           │       │       ├── xil_cache.o
    │   │           │       │       ├── xil_cache_vxworks.h
    │   │           │       │       ├── xil_exception.c
    │   │           │       │       ├── xil_exception.h
    │   │           │       │       ├── xil_exception.o
    │   │           │       │       ├── xil_hal.h
    │   │           │       │       ├── xil_io.c
    │   │           │       │       ├── xil_io.h
    │   │           │       │       ├── xil_io.o
    │   │           │       │       ├── xil_macroback.h
    │   │           │       │       ├── xil_mem.c
    │   │           │       │       ├── xil_mem.h
    │   │           │       │       ├── xil_mem.o
    │   │           │       │       ├── xil_misc_psreset_api.c
    │   │           │       │       ├── xil_misc_psreset_api.h
    │   │           │       │       ├── xil_misc_psreset_api.o
    │   │           │       │       ├── xil_printf.c
    │   │           │       │       ├── xil_printf.h
    │   │           │       │       ├── xil_printf.o
    │   │           │       │       ├── xil_sleepcommon.c
    │   │           │       │       ├── xil_sleepcommon.o
    │   │           │       │       ├── xil_testcache.c
    │   │           │       │       ├── xil_testcache.h
    │   │           │       │       ├── xil_testcache.o
    │   │           │       │       ├── xil_testio.c
    │   │           │       │       ├── xil_testio.h
    │   │           │       │       ├── xil_testio.o
    │   │           │       │       ├── xil_testmem.c
    │   │           │       │       ├── xil_testmem.h
    │   │           │       │       ├── xil_testmem.o
    │   │           │       │       ├── xil_types.h
    │   │           │       │       ├── xil_util.c
    │   │           │       │       ├── xil_util.h
    │   │           │       │       ├── xil_util.o
    │   │           │       │       ├── xplatform_info.c
    │   │           │       │       ├── xplatform_info.h
    │   │           │       │       ├── xplatform_info.o
    │   │           │       │       └── xstatus.h
    │   │           │       └── uartlite_v3_3
    │   │           │           └── src
    │   │           │               ├── Makefile
    │   │           │               ├── xuartlite.c
    │   │           │               ├── xuartlite.h
    │   │           │               ├── xuartlite_g.c
    │   │           │               ├── xuartlite_i.h
    │   │           │               ├── xuartlite_intr.c
    │   │           │               ├── xuartlite_l.c
    │   │           │               ├── xuartlite_l.h
    │   │           │               ├── xuartlite_selftest.c
    │   │           │               ├── xuartlite_sinit.c
    │   │           │               └── xuartlite_stats.c
    │   │           └── system.mss
    │   ├── platform.spr
    │   ├── resources
    │   └── tempdsa
    │       ├── system_wrapper.bit
    │       ├── system_wrapper.mmi
    │       └── system_wrapper.xsa
    ├── system_wrapper.bit
    ├── system_wrapper.mmi
    ├── system_wrapper.xsa
    ├── uart_interrupt
    │   ├── Debug
    │   │   ├── makefile
    │   │   ├── objects.mk
    │   │   ├── sources.mk
    │   │   ├── src
    │   │   │   ├── main.d
    │   │   │   ├── main.o
    │   │   │   └── subdir.mk
    │   │   ├── uart_interrupt.elf
    │   │   ├── uart_interrupt.elf.size
    │   │   └── uart_interrupt_Debug.build.ui.log
    │   ├── _ide
    │   │   ├── bitstream
    │   │   │   ├── system_wrapper.bit
    │   │   │   └── system_wrapper.mmi
    │   │   └── hwspec.checksum
    │   ├── src
    │   │   ├── README.txt
    │   │   ├── lscript.ld
    │   │   └── main.c
    │   └── uart_interrupt.prj
    ├── uart_interrupt_system
    │   ├── Debug
    │   │   ├── makefile
    │   │   └── uart_interrupt_system_Debug.build.ui.log
    │   ├── _ide
    │   │   └── launch
    │   │       └── SystemDebugger_uart_interrupt_system.launch
    │   └── uart_interrupt_system.sprj
    └── webtalk
        ├── vitis_webtalk.tcl
        ├── vitis_webtalk.wdm
        ├── webtalk.jou
        ├── webtalk.log
        ├── webtalk_21244.backup.jou
        ├── webtalk_21244.backup.log
        ├── webtalk_24360.backup.jou
        └── webtalk_24360.backup.log

232 directories, 1160 files


实例下载地址

Xilinx A7 35T 软核串口中断

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警