在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → AD7606采集数据通过Uart传输到上位机

AD7606采集数据通过Uart传输到上位机

一般编程问题

下载此实例

实例介绍

【实例简介】AD7606采集数据通过Uart传输到上位机,八路同时采集,可以实时在电脑上显示。

【实例截图】

from clipboard

【核心代码】

.
├── AD7606采集数据通过Uart传输到上位机.rar
└── ad_uart_v6
    ├── ad_uart_v6.cache
    │   ├── compile_simlib
    │   │   ├── activehdl
    │   │   ├── ies
    │   │   ├── questa
    │   │   ├── riviera
    │   │   ├── vcs
    │   │   └── xcelium
    │   ├── ip
    │   │   └── 2020.1
    │   └── wt
    │       ├── gui_handlers.wdf
    │       ├── java_command_handlers.wdf
    │       ├── project.wpc
    │       ├── synthesis.wdf
    │       ├── synthesis_details.wdf
    │       └── webtalk_pa.xml
    ├── ad_uart_v6.hbs
    │   └── hier
    ├── ad_uart_v6.hw
    │   ├── ad_uart_v6.lpr
    │   └── hw_1
    │       ├── hw.xml
    │       └── wave
    ├── ad_uart_v6.ip_user_files
    │   ├── README.txt
    │   ├── ip
    │   │   └── clk_wiz_0
    │   │       ├── clk_wiz_0.veo
    │   │       ├── clk_wiz_0_stub.v
    │   │       └── clk_wiz_0_stub.vhdl
    │   ├── ipstatic
    │   │   ├── mmcm_pll_drp_func_7s_mmcm.vh
    │   │   ├── mmcm_pll_drp_func_7s_pll.vh
    │   │   ├── mmcm_pll_drp_func_us_mmcm.vh
    │   │   ├── mmcm_pll_drp_func_us_pll.vh
    │   │   ├── mmcm_pll_drp_func_us_plus_mmcm.vh
    │   │   └── mmcm_pll_drp_func_us_plus_pll.vh
    │   └── sim_scripts
    │       └── clk_wiz_0
    │           ├── README.txt
    │           ├── activehdl
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── clk_wiz_0.udo
    │           │   ├── compile.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── ies
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   └── run.f
    │           ├── modelsim
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── clk_wiz_0.udo
    │           │   ├── compile.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── modelsim.ini
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── questa
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── clk_wiz_0.udo
    │           │   ├── compile.do
    │           │   ├── elaborate.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── riviera
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── clk_wiz_0.udo
    │           │   ├── compile.do
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   ├── simulate.do
    │           │   └── wave.do
    │           ├── vcs
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   └── simulate.do
    │           ├── xcelium
    │           │   ├── README.txt
    │           │   ├── clk_wiz_0.sh
    │           │   ├── file_info.txt
    │           │   ├── glbl.v
    │           │   └── run.f
    │           └── xsim
    │               ├── README.txt
    │               ├── clk_wiz_0.sh
    │               ├── cmd.tcl
    │               ├── elab.opt
    │               ├── file_info.txt
    │               ├── glbl.v
    │               ├── vlog.prj
    │               └── xsim.ini
    ├── ad_uart_v6.runs
    │   ├── clk_wiz_0_synth_1
    │   │   ├── ISEWrap.js
    │   │   ├── ISEWrap.sh
    │   │   ├── __synthesis_is_complete__
    │   │   ├── clk_wiz_0.dcp
    │   │   ├── clk_wiz_0.tcl
    │   │   ├── clk_wiz_0.vds
    │   │   ├── clk_wiz_0_utilization_synth.pb
    │   │   ├── clk_wiz_0_utilization_synth.rpt
    │   │   ├── dont_touch.xdc
    │   │   ├── gen_run.xml
    │   │   ├── htr.txt
    │   │   ├── job.id.log
    │   │   ├── project.wdf
    │   │   ├── rundef.js
    │   │   ├── runme.bat
    │   │   ├── runme.log
    │   │   ├── runme.sh
    │   │   ├── vivado.jou
    │   │   └── vivado.pb
    │   ├── impl_1
    │   │   ├── ISEWrap.js
    │   │   ├── ISEWrap.sh
    │   │   ├── ad706_test.bit
    │   │   ├── ad706_test.tcl
    │   │   ├── ad706_test.vdi
    │   │   ├── ad706_test_bus_skew_routed.pb
    │   │   ├── ad706_test_bus_skew_routed.rpt
    │   │   ├── ad706_test_bus_skew_routed.rpx
    │   │   ├── ad706_test_clock_utilization_routed.rpt
    │   │   ├── ad706_test_control_sets_placed.rpt
    │   │   ├── ad706_test_drc_opted.pb
    │   │   ├── ad706_test_drc_opted.rpt
    │   │   ├── ad706_test_drc_opted.rpx
    │   │   ├── ad706_test_drc_routed.pb
    │   │   ├── ad706_test_drc_routed.rpt
    │   │   ├── ad706_test_drc_routed.rpx
    │   │   ├── ad706_test_io_placed.rpt
    │   │   ├── ad706_test_methodology_drc_routed.pb
    │   │   ├── ad706_test_methodology_drc_routed.rpt
    │   │   ├── ad706_test_methodology_drc_routed.rpx
    │   │   ├── ad706_test_opt.dcp
    │   │   ├── ad706_test_physopt.dcp
    │   │   ├── ad706_test_placed.dcp
    │   │   ├── ad706_test_power_routed.rpt
    │   │   ├── ad706_test_power_routed.rpx
    │   │   ├── ad706_test_power_summary_routed.pb
    │   │   ├── ad706_test_route_status.pb
    │   │   ├── ad706_test_route_status.rpt
    │   │   ├── ad706_test_routed.dcp
    │   │   ├── ad706_test_timing_summary_routed.pb
    │   │   ├── ad706_test_timing_summary_routed.rpt
    │   │   ├── ad706_test_timing_summary_routed.rpx
    │   │   ├── ad706_test_utilization_placed.pb
    │   │   ├── ad706_test_utilization_placed.rpt
    │   │   ├── gen_run.xml
    │   │   ├── htr.txt
    │   │   ├── init_design.pb
    │   │   ├── job.id.log
    │   │   ├── opt_design.pb
    │   │   ├── phys_opt_design.pb
    │   │   ├── place_design.pb
    │   │   ├── project.wdf
    │   │   ├── route_design.pb
    │   │   ├── rundef.js
    │   │   ├── runme.bat
    │   │   ├── runme.log
    │   │   ├── runme.sh
    │   │   ├── usage_statistics_webtalk.html
    │   │   ├── usage_statistics_webtalk.xml
    │   │   ├── vivado.jou
    │   │   ├── vivado.pb
    │   │   └── write_bitstream.pb
    │   └── synth_1
    │       ├── ISEWrap.js
    │       ├── ISEWrap.sh
    │       ├── __synthesis_is_complete__
    │       ├── ad706_test.dcp
    │       ├── ad706_test.tcl
    │       ├── ad706_test.vds
    │       ├── ad706_test_utilization_synth.pb
    │       ├── ad706_test_utilization_synth.rpt
    │       ├── gen_run.xml
    │       ├── htr.txt
    │       ├── job.id.log
    │       ├── project.wdf
    │       ├── rundef.js
    │       ├── runme.bat
    │       ├── runme.log
    │       ├── runme.sh
    │       ├── vivado.jou
    │       └── vivado.pb
    ├── ad_uart_v6.sim
    ├── ad_uart_v6.srcs
    │   ├── constrs_1
    │   │   └── imports
    │   │       └── new
    │   │           └── ad7606_xdc.xdc
    │   └── sources_1
    │       ├── ip
    │       │   └── clk_wiz_0
    │       │       ├── clk_wiz_0.dcp
    │       │       ├── clk_wiz_0.v
    │       │       ├── clk_wiz_0.veo
    │       │       ├── clk_wiz_0.xci
    │       │       ├── clk_wiz_0.xdc
    │       │       ├── clk_wiz_0.xml
    │       │       ├── clk_wiz_0_board.xdc
    │       │       ├── clk_wiz_0_clk_wiz.v
    │       │       ├── clk_wiz_0_ooc.xdc
    │       │       ├── clk_wiz_0_sim_netlist.v
    │       │       ├── clk_wiz_0_sim_netlist.vhdl
    │       │       ├── clk_wiz_0_stub.v
    │       │       ├── clk_wiz_0_stub.vhdl
    │       │       ├── doc
    │       │       │   └── clk_wiz_v6_0_changelog.txt
    │       │       ├── mmcm_pll_drp_func_7s_mmcm.vh
    │       │       ├── mmcm_pll_drp_func_7s_pll.vh
    │       │       ├── mmcm_pll_drp_func_us_mmcm.vh
    │       │       ├── mmcm_pll_drp_func_us_pll.vh
    │       │       ├── mmcm_pll_drp_func_us_plus_mmcm.vh
    │       │       └── mmcm_pll_drp_func_us_plus_pll.vh
    │       └── new
    │           ├── ad706_test.v
    │           ├── ad7606.v
    │           ├── bcd.v
    │           ├── uart.v
    │           ├── uarttx.v
    │           └── volt_cal.v
    └── ad_uart_v6.xpr

45 directories, 194 files


网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警