在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 数字滤波器的MATLAB与FPGA实现—Altera/Verilog版(配套光盘)

数字滤波器的MATLAB与FPGA实现—Altera/Verilog版(配套光盘)

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:83.54M
  • 下载次数:16
  • 浏览次数:451
  • 发布时间:2022-04-14
  • 实例类别:一般编程问题
  • 发 布 人:zhreji
  • 文件格式:.rar
  • 所需积分:2
 相关标签: verilog MATLAB Altera FPGA 滤波器

实例介绍

【实例简介】数字滤波器的MATLAB与FPGA实现—Altera/Verilog版(配套光盘)

【实例截图】

from clipboard

【核心代码】

.
├── 好例子网_数字滤波器的MATLAB与FPGA实现——杜勇(配套光盘).rar
└── 数字滤波器的MATLAB与FPGA实现——杜勇(配套光盘)
    ├── Chapter_2
    │   ├── E2_1_BasicWave.m
    │   ├── E2_2_SignalProcess.m
    │   ├── E2_3_fft.m
    │   └── E2_4
    │       ├── E2_4_FpgaSim.m
    │       ├── E2_4_SimSigProduce.m
    │       └── Mixer
    │           ├── Mixer.jdi
    │           ├── Mixer.qpf
    │           ├── Mixer.qsf
    │           ├── Mixer.qsf.bak
    │           ├── Mixer.qws
    │           ├── Mixer.sdc
    │           ├── Mixer.tcl.bak
    │           ├── Mixer.tis_db_list.ddb
    │           ├── Mixer_nativelink_simulation.rpt
    │           ├── db
    │           ├── incremental_db
    │           ├── iptb_nco_temp8120469586654296113
    │           ├── nco-library
    │           ├── oc.bsf
    │           ├── oc.html
    │           ├── oc.qip
    │           ├── oc.v
    │           ├── oc.vec
    │           ├── oc.vo
    │           ├── oc.xml
    │           ├── oc_bb.v
    │           ├── oc_cos.hex
    │           ├── oc_model.m
    │           ├── oc_nativelink.tcl
    │           ├── oc_sin.hex
    │           ├── oc_st.inc
    │           ├── oc_st.v
    │           ├── oc_syn.v
    │           ├── oc_tb.m
    │           ├── oc_tb.v
    │           ├── oc_tb.vhd
    │           ├── oc_vho_msim.tcl
    │           ├── oc_vo_msim.tcl
    │           ├── oc_wave.do
    │           ├── output_files
    │           ├── simulation
    │           ├── source
    │           └── tcl
    ├── Chapter_3
    │   ├── E3_1
    │   │   ├── SymbExam.jdi
    │   │   ├── SymbExam.qpf
    │   │   ├── SymbExam.qsf
    │   │   ├── SymbExam.qws
    │   │   ├── SymbExam_nativelink_simulation.rpt
    │   │   ├── db
    │   │   ├── incremental_db
    │   │   │   ├── README
    │   │   │   └── compiled_partitions
    │   │   ├── output_files
    │   │   ├── simulation
    │   │   │   └── modelsim
    │   │   ├── source
    │   │   │   ├── SymbExam.v
    │   │   │   └── SymbExam.v.bak
    │   │   └── tcl
    │   ├── E3_2_QuantCoeff.m
    │   └── E3_3_QuantArith.m
    ├── Chapter_4
    │   ├── E4_1_fir1.m
    │   ├── E4_2_Windows.m
    │   ├── E4_3_fir2.m
    │   ├── E4_4_FilterCompare.m
    │   ├── E4_5
    │   │   ├── E4_5_FDATOOL.coe
    │   │   └── E4_5_FDATOOL.fda
    │   ├── E4_6
    │   │   ├── E4_6_FilterCoeQuant.m
    │   │   └── E4_6_hf10_14.txt
    │   ├── E4_7
    │   │   ├── E4_7_Fir8Serial.M
    │   │   ├── E4_7_NoiseAndCarrier.M
    │   │   ├── E4_7_NoiseAndCarrierOut.M
    │   │   └── FirFullSerial
    │   │       ├── FirFullSerial.jdi
    │   │       ├── FirFullSerial.qpf
    │   │       ├── FirFullSerial.qsf
    │   │       ├── FirFullSerial.qws
    │   │       ├── FirFullSerial.sdc
    │   │       ├── FirFullSerial_nativelink_simulation.rpt
    │   │       ├── adder.qip
    │   │       ├── db
    │   │       ├── greybox_tmp
    │   │       ├── incremental_db
    │   │       ├── ipcore
    │   │       ├── output_files
    │   │       ├── simulation
    │   │       └── source
    │   ├── E4_8
    │   │   ├── E4_8_Bin_noise.txt
    │   │   ├── E4_8_Bin_s.txt
    │   │   ├── E4_8_Fir8Serial.M
    │   │   ├── E4_8_Int_noise.txt
    │   │   ├── E4_8_Int_s.txt
    │   │   ├── E4_8_NoiseAndCarrier.M
    │   │   ├── E4_8_NoiseAndCarrierOut.M
    │   │   └── FirParallel
    │   │       ├── FirFullSerial.asm.rpt
    │   │       ├── FirFullSerial.done
    │   │       ├── FirFullSerial.eda.rpt
    │   │       ├── FirFullSerial.fit.rpt
    │   │       ├── FirFullSerial.fit.smsg
    │   │       ├── FirFullSerial.fit.summary
    │   │       ├── FirFullSerial.flow.rpt
    │   │       ├── FirFullSerial.jdi
    │   │       ├── FirFullSerial.map.rpt
    │   │       ├── FirFullSerial.map.smsg
    │   │       ├── FirFullSerial.map.summary
    │   │       ├── FirFullSerial.pin
    │   │       ├── FirFullSerial.qsf
    │   │       ├── FirFullSerial.qws
    │   │       ├── FirFullSerial.sof
    │   │       ├── FirFullSerial.sta.rpt
    │   │       ├── FirFullSerial.sta.summary
    │   │       ├── FirFullSerial_nativelink_simulation.rpt
    │   │       ├── FirParallel.jdi
    │   │       ├── FirParallel.qpf
    │   │       ├── FirParallel.qsf
    │   │       ├── FirParallel.qws
    │   │       ├── FirParallel.sdc
    │   │       ├── FirParallel_nativelink_simulation.rpt
    │   │       ├── adder.qip
    │   │       ├── db
    │   │       ├── fir.xml
    │   │       ├── greybox_tmp
    │   │       ├── incremental_db
    │   │       ├── ipcore
    │   │       ├── output_files
    │   │       ├── simulation
    │   │       └── source
    │   └── E4_9
    │       ├── E4_7_Fir8Serial.M
    │       ├── E4_9_NoiseAndCarrierOut.M
    │       ├── FirCoe.txt
    │       └── FirDA
    │           ├── FirIPDa.jdi
    │           ├── FirIPDa.qpf
    │           ├── FirIPDa.qsf
    │           ├── FirIPDa.qws
    │           ├── FirIPDa.sdc
    │           ├── FirIPDa_nativelink_simulation.rpt
    │           ├── db
    │           ├── fir.bsf
    │           ├── fir.html
    │           ├── fir.qip
    │           ├── fir.v
    │           ├── fir.vec
    │           ├── fir.vo
    │           ├── fir_ast.vhd
    │           ├── fir_bb.v
    │           ├── fir_coef_int.txt
    │           ├── fir_compiler-library
    │           ├── fir_constraints.tcl
    │           ├── fir_input.txt
    │           ├── fir_ip
    │           ├── fir_ip.bsf
    │           ├── fir_ip.cmp
    │           ├── fir_ip.qip
    │           ├── fir_ip.sip
    │           ├── fir_ip.spd
    │           ├── fir_ip_sim
    │           ├── fir_mlab.m
    │           ├── fir_model.m
    │           ├── fir_msim.tcl
    │           ├── fir_nativelink.tcl
    │           ├── fir_par.bsf
    │           ├── fir_par.html
    │           ├── fir_par.qip
    │           ├── fir_par.v
    │           ├── fir_par.vec
    │           ├── fir_par.vo
    │           ├── fir_par_ast.vhd
    │           ├── fir_par_bb.v
    │           ├── fir_par_coef_int.txt
    │           ├── fir_par_constraints.tcl
    │           ├── fir_par_input.txt
    │           ├── fir_par_mlab.m
    │           ├── fir_par_model.m
    │           ├── fir_par_msim.tcl
    │           ├── fir_par_nativelink.tcl
    │           ├── fir_par_param.txt
    │           ├── fir_par_silent_param.txt
    │           ├── fir_par_st.v
    │           ├── fir_param.txt
    │           ├── fir_silent_param.txt
    │           ├── fir_st.v
    │           ├── incremental_db
    │           ├── output_files
    │           ├── simulation
    │           ├── source
    │           ├── tb_fir.vhd
    │           └── tb_fir_par.vhd
    ├── Chapter_5
    │   ├── E5_1_IIR4Functions.m
    │   ├── E5_2.fda
    │   ├── E5_3
    │   │   ├── E5_31_DirectArith.m
    │   │   └── E5_32_QuantIIRDirectArith.m
    │   ├── E5_4
    │   │   ├── E5_4_NoiseAndCarrierOut.M
    │   │   ├── E5_IIRQcoe.m
    │   │   └── IIRDirect
    │   │       ├── IIRDirect.jdi
    │   │       ├── IIRDirect.qpf
    │   │       ├── IIRDirect.qsf
    │   │       ├── IIRDirect.qws
    │   │       ├── IIRDirect_nativelink_simulation.rpt
    │   │       ├── db
    │   │       ├── incremental_db
    │   │       ├── ipcore
    │   │       ├── output_files
    │   │       ├── simulation
    │   │       └── source
    │   ├── E5_5
    │   │   ├── E5_51_dir2cas.m
    │   │   ├── E5_52_Qcoe.m
    │   │   ├── E5_53_NoiseAndCarrier.M
    │   │   ├── E5_54_MatlabSim.M
    │   │   ├── E5_55_NoiseAndCarrierOut.M
    │   │   ├── E5_IIRQcoe.m
    │   │   └── IIRCas
    │   │       ├── IIRCas.jdi
    │   │       ├── IIRCas.qpf
    │   │       ├── IIRCas.qsf
    │   │       ├── IIRCas.qws
    │   │       ├── IIRCas.sdc
    │   │       ├── IIRCas_nativelink_simulation.rpt
    │   │       ├── db
    │   │       ├── incremental_db
    │   │       ├── output_files
    │   │       ├── simulation
    │   │       └── source
    │   └── E5_IIRQcoe.m
    ├── Chapter_6
    │   ├── E6_10_HalfFilterMatlab.M
    │   ├── E6_11
    │   │   ├── E6_11_HfDesign.m
    │   │   ├── E6_11_Int_Sin.txt
    │   │   ├── E6_11_NoiseAndCarrier.m
    │   │   ├── E6_11_NoiseAndCarrierOut.m
    │   │   ├── E6_11_hf1.txt
    │   │   ├── E6_11_hf2.txt
    │   │   ├── E6_11_hf3.txt
    │   │   ├── E6_11_hf4.txt
    │   │   ├── E6_11_hf5.txt
    │   │   ├── E6_11_hf6.txt
    │   │   └── MultiHalfBand
    │   │       ├── MultiHalfBand.jdi
    │   │       ├── MultiHalfBand.qpf
    │   │       ├── MultiHalfBand.qsf
    │   │       ├── MultiHalfBand.qws
    │   │       ├── MultiHalfBand_nativelink_simulation.rpt
    │   │       ├── db
    │   │       ├── fir_compiler-library
    │   │       ├── hf_1.bsf
    │   │       ├── hf_1.html
    │   │       ├── hf_1.qip
    │   │       ├── hf_1.v
    │   │       ├── hf_1.vec
    │   │       ├── hf_1.vo
    │   │       ├── hf_1_ast.vhd
    │   │       ├── hf_1_bb.v
    │   │       ├── hf_1_coef_int.txt
    │   │       ├── hf_1_constraints.tcl
    │   │       ├── hf_1_input.txt
    │   │       ├── hf_1_mlab.m
    │   │       ├── hf_1_model.m
    │   │       ├── hf_1_msim.tcl
    │   │       ├── hf_1_nativelink.tcl
    │   │       ├── hf_1_param.txt
    │   │       ├── hf_1_silent_param.txt
    │   │       ├── hf_1_st.v
    │   │       ├── hf_2.bsf
    │   │       ├── hf_2.html
    │   │       ├── hf_2.qip
    │   │       ├── hf_2.v
    │   │       ├── hf_2.vec
    │   │       ├── hf_2.vo
    │   │       ├── hf_2_ast.vhd
    │   │       ├── hf_2_bb.v
    │   │       ├── hf_2_coef_int.txt
    │   │       ├── hf_2_constraints.tcl
    │   │       ├── hf_2_input.txt
    │   │       ├── hf_2_mlab.m
    │   │       ├── hf_2_model.m
    │   │       ├── hf_2_msim.tcl
    │   │       ├── hf_2_nativelink.tcl
    │   │       ├── hf_2_param.txt
    │   │       ├── hf_2_silent_param.txt
    │   │       ├── hf_2_st.v
    │   │       ├── hf_3.bsf
    │   │       ├── hf_3.html
    │   │       ├── hf_3.qip
    │   │       ├── hf_3.v
    │   │       ├── hf_3.vec
    │   │       ├── hf_3.vo
    │   │       ├── hf_3_ast.vhd
    │   │       ├── hf_3_bb.v
    │   │       ├── hf_3_coef_int.txt
    │   │       ├── hf_3_constraints.tcl
    │   │       ├── hf_3_input.txt
    │   │       ├── hf_3_mlab.m
    │   │       ├── hf_3_model.m
    │   │       ├── hf_3_msim.tcl
    │   │       ├── hf_3_nativelink.tcl
    │   │       ├── hf_3_param.txt
    │   │       ├── hf_3_silent_param.txt
    │   │       ├── hf_3_st.v
    │   │       ├── hf_4.bsf
    │   │       ├── hf_4.html
    │   │       ├── hf_4.qip
    │   │       ├── hf_4.v
    │   │       ├── hf_4.vec
    │   │       ├── hf_4.vo
    │   │       ├── hf_4_ast.vhd
    │   │       ├── hf_4_bb.v
    │   │       ├── hf_4_coef_int.txt
    │   │       ├── hf_4_constraints.tcl
    │   │       ├── hf_4_input.txt
    │   │       ├── hf_4_mlab.m
    │   │       ├── hf_4_model.m
    │   │       ├── hf_4_msim.tcl
    │   │       ├── hf_4_nativelink.tcl
    │   │       ├── hf_4_param.txt
    │   │       ├── hf_4_silent_param.txt
    │   │       ├── hf_4_st.v
    │   │       ├── hf_5.bsf
    │   │       ├── hf_5.html
    │   │       ├── hf_5.qip
    │   │       ├── hf_5.v
    │   │       ├── hf_5.vec
    │   │       ├── hf_5.vo
    │   │       ├── hf_5_ast.vhd
    │   │       ├── hf_5_bb.v
    │   │       ├── hf_5_coef_int.txt
    │   │       ├── hf_5_constraints.tcl
    │   │       ├── hf_5_input.txt
    │   │       ├── hf_5_mlab.m
    │   │       ├── hf_5_model.m
    │   │       ├── hf_5_msim.tcl
    │   │       ├── hf_5_nativelink.tcl
    │   │       ├── hf_5_param.txt
    │   │       ├── hf_5_silent_param.txt
    │   │       ├── hf_5_st.v
    │   │       ├── hf_6.bsf
    │   │       ├── hf_6.html
    │   │       ├── hf_6.qip
    │   │       ├── hf_6.v
    │   │       ├── hf_6.vec
    │   │       ├── hf_6.vo
    │   │       ├── hf_6_ast.vhd
    │   │       ├── hf_6_bb.v
    │   │       ├── hf_6_coef_int.txt
    │   │       ├── hf_6_constraints.tcl
    │   │       ├── hf_6_input.txt
    │   │       ├── hf_6_mlab.m
    │   │       ├── hf_6_model.m
    │   │       ├── hf_6_msim.tcl
    │   │       ├── hf_6_nativelink.tcl
    │   │       ├── hf_6_param.txt
    │   │       ├── hf_6_silent_param.txt
    │   │       ├── hf_6_st.v
    │   │       ├── incremental_db
    │   │       ├── output_files
    │   │       ├── simulation
    │   │       ├── source
    │   │       ├── tb_hf_1.vhd
    │   │       ├── tb_hf_2.vhd
    │   │       ├── tb_hf_3.vhd
    │   │       ├── tb_hf_4.vhd
    │   │       ├── tb_hf_5.vhd
    │   │       └── tb_hf_6.vhd
    │   ├── E6_1_DecimSpec.M
    │   ├── E6_2_InterSpec.M
    │   ├── E6_3_SigCIC.M
    │   ├── E6_4_MultCIC.M
    │   ├── E6_5_CompareCIC.M
    │   ├── E6_6_ErrorCIC.M
    │   ├── E6_7
    │   │   ├── E6_7_SigAnalysis.M
    │   │   ├── E6_7_SigProduce.M
    │   │   ├── SigCIC
    │   │   │   ├── SigCIC.jdi
    │   │   │   ├── SigCIC.qpf
    │   │   │   ├── SigCIC.qsf
    │   │   │   ├── SigCIC.qws
    │   │   │   ├── SigCIC_nativelink_simulation.rpt
    │   │   │   ├── db
    │   │   │   ├── incremental_db
    │   │   │   ├── output_files
    │   │   │   ├── simulation
    │   │   │   └── source
    │   │   └── sin_in.txt
    │   ├── E6_8
    │   │   ├── E6_8_SigAnalysis.M
    │   │   ├── E6_8_SigProduce.M
    │   │   ├── MultCIC
    │   │   │   ├── MultCIC.jdi
    │   │   │   ├── MultCIC.qpf
    │   │   │   ├── MultCIC.qsf
    │   │   │   ├── MultCIC.qws
    │   │   │   ├── MultCIC_nativelink_simulation.rpt
    │   │   │   ├── db
    │   │   │   ├── incremental_db
    │   │   │   ├── output_files
    │   │   │   ├── simulation
    │   │   │   └── source
    │   │   └── dsin_in.txt
    │   └── E6_9
    │       └── CICIP
    │           ├── CICIP.jdi
    │           ├── CICIP.qpf
    │           ├── CICIP.qsf
    │           ├── CICIP.qws
    │           ├── CICIP_nativelink_simulation.rpt
    │           ├── CicFilter.bsf
    │           ├── CicFilter.html
    │           ├── CicFilter.qip
    │           ├── CicFilter.v
    │           ├── CicFilter.vo
    │           ├── CicFilter_cic.ocp
    │           ├── CicFilter_cic.vhd
    │           ├── CicFilter_fir_comp_coeff.m
    │           ├── CicFilter_nativelink.tcl
    │           ├── CicFilter_quartus.tcl
    │           ├── CicFilter_syn.v
    │           ├── CicFilter_tb.v
    │           ├── CicFilter_tb_input.txt
    │           ├── cic-library
    │           ├── db
    │           ├── incremental_db
    │           ├── output_files
    │           ├── simulation
    │           └── source
    ├── Chapter_7
    │   ├── E7_1_LMSSim.m
    │   ├── E7_2
    │   │   ├── E7_2_lms_mismatch_SigAnalysis.M
    │   │   ├── E7_2_lms_mismatch_in.m
    │   │   ├── E7_2_lms_mismatch_signalproduce.m
    │   │   ├── E7_2_lms_mismatch_sim.m
    │   │   └── MisMatch
    │   │       ├── MisMatch.jdi
    │   │       ├── MisMatch.qpf
    │   │       ├── MisMatch.qsf
    │   │       ├── MisMatch.qws
    │   │       ├── MisMatch.sdc
    │   │       ├── MisMatch_nativelink_simulation.rpt
    │   │       ├── complexmult.qip
    │   │       ├── complexmult.v
    │   │       ├── complexmult_bb.v
    │   │       ├── db
    │   │       ├── greybox_tmp
    │   │       ├── incremental_db
    │   │       ├── output_files
    │   │       ├── simulation
    │   │       └── source
    │   ├── E7_3
    │   │   ├── E7_3_EqualizerSigAnalysis.m
    │   │   ├── E7_3_EqualizerSigProduce.m
    │   │   ├── E7_3_EqualizerSim.m
    │   │   ├── E7_3_e_out.txt
    │   │   ├── E7_3_r_in.txt
    │   │   ├── E7_3_x_in.txt
    │   │   └── Equalizer
    │   │       ├── Equalizer.jdi
    │   │       ├── Equalizer.qpf
    │   │       ├── Equalizer.qsf
    │   │       ├── Equalizer.qws
    │   │       ├── Equalizer.sdc
    │   │       ├── Equalizer_nativelink_simulation.rpt
    │   │       ├── db
    │   │       ├── greybox_tmp
    │   │       ├── incremental_db
    │   │       ├── mult.qip
    │   │       ├── mult.v
    │   │       ├── mult_bb.v
    │   │       ├── output_files
    │   │       ├── simulation
    │   │       └── source
    │   ├── E7_4
    │   │   ├── Antenna
    │   │   │   ├── Antenna.jdi
    │   │   │   ├── Antenna.qpf
    │   │   │   ├── Antenna.qsf
    │   │   │   ├── Antenna.qws
    │   │   │   ├── Antenna.sdc
    │   │   │   ├── Antenna_nativelink_simulation.rpt
    │   │   │   ├── complexmult.qip
    │   │   │   ├── complexmult.v
    │   │   │   ├── complexmult_bb.v
    │   │   │   ├── db
    │   │   │   ├── greybox_tmp
    │   │   │   ├── incremental_db
    │   │   │   ├── output_files
    │   │   │   ├── simulation
    │   │   │   └── source
    │   │   ├── E7_4_AntennaSigAnalysis.M
    │   │   ├── E7_4_AntennaSigProduce.m
    │   │   └── E7_4_AntennaSim.m
    │   └── E7_5
    │       ├── E7_5_NotchFilter.m
    │       ├── NotchFilter
    │       │   ├── NotchFilter.jdi
    │       │   ├── NotchFilter.qpf
    │       │   ├── NotchFilter.qsf
    │       │   ├── NotchFilter.qws
    │       │   ├── NotchFilter.sdc
    │       │   ├── NotchFilter_nativelink_simulation.rpt
    │       │   ├── db
    │       │   ├── incremental_db
    │       │   ├── mult.qip
    │       │   ├── mult.v
    │       │   ├── mult_bb.v
    │       │   ├── nco-library
    │       │   ├── nco10.bsf
    │       │   ├── nco10.html
    │       │   ├── nco10.qip
    │       │   ├── nco10.v
    │       │   ├── nco10.vec
    │       │   ├── nco10.vo
    │       │   ├── nco10_bb.v
    │       │   ├── nco10_cos_c.hex
    │       │   ├── nco10_cos_f.hex
    │       │   ├── nco10_model.m
    │       │   ├── nco10_nativelink.tcl
    │       │   ├── nco10_sin_c.hex
    │       │   ├── nco10_sin_f.hex
    │       │   ├── nco10_st.inc
    │       │   ├── nco10_st.v
    │       │   ├── nco10_tb.m
    │       │   ├── nco10_tb.v
    │       │   ├── nco10_tb.vhd
    │       │   ├── nco10_vho_msim.tcl
    │       │   ├── nco10_vo_msim.tcl
    │       │   ├── nco10_wave.do
    │       │   ├── nco50.bsf
    │       │   ├── nco50.html
    │       │   ├── nco50.qip
    │       │   ├── nco50.v
    │       │   ├── nco50.v.bak
    │       │   ├── nco50.vec
    │       │   ├── nco50.vo
    │       │   ├── nco50_bb.v
    │       │   ├── nco50_cos_c.hex
    │       │   ├── nco50_cos_f.hex
    │       │   ├── nco50_model.m
    │       │   ├── nco50_nativelink.tcl
    │       │   ├── nco50_sin_c.hex
    │       │   ├── nco50_sin_f.hex
    │       │   ├── nco50_st.inc
    │       │   ├── nco50_st.v
    │       │   ├── nco50_tb.m
    │       │   ├── nco50_tb.v
    │       │   ├── nco50_tb.vhd
    │       │   ├── nco50_vho_msim.tcl
    │       │   ├── nco50_vo_msim.tcl
    │       │   ├── nco50_wave.do
    │       │   ├── output_files
    │       │   ├── simulation
    │       │   └── source
    │       ├── din_rand.txt
    │       └── din_sin.txt
    ├── Chapter_8
    │   ├── E8_1_FFTSim.m
    │   └── E8_2
    │       ├── E8_2_Bin_s.txt
    │       ├── E8_2_FFTFPGAsim.m
    │       ├── E8_2_FFTFilter.m
    │       ├── E8_2_FFTRsim.m
    │       ├── E8_2_PNCodeGenerator.m
    │       ├── E8_2_window.mif
    │       └── TransformFilter
    │           ├── E8_2_dout.txt
    │           ├── E8_2_window.hex
    │           ├── E8_2_window.mif
    │           ├── E8_2_window.ver
    │           ├── Hex1.hex
    │           ├── Hex1.ver
    │           ├── Mif2.mif
    │           ├── Mif2.ver
    │           ├── TransformFilter.jdi
    │           ├── TransformFilter.qpf
    │           ├── TransformFilter.qsf
    │           ├── TransformFilter.qws
    │           ├── TransformFilter.sdc
    │           ├── TransformFilter.sft
    │           ├── TransformFilter.vo
    │           ├── TransformFilter.vt
    │           ├── TransformFilter.vt.bak
    │           ├── TransformFilter_8_1200mv_0c_slow.vo
    │           ├── TransformFilter_8_1200mv_0c_v_slow.sdo
    │           ├── TransformFilter_8_1200mv_85c_slow.vo
    │           ├── TransformFilter_8_1200mv_85c_v_slow.sdo
    │           ├── TransformFilter_assignment_defaults.qdf
    │           ├── TransformFilter_dump_all_vcd_nodes.tcl
    │           ├── TransformFilter_min_1200mv_0c_fast.vo
    │           ├── TransformFilter_min_1200mv_0c_v_fast.sdo
    │           ├── TransformFilter_min_1200mv_0c_v_fast.sdo_typ.csd
    │           ├── TransformFilter_modelsim.xrf
    │           ├── TransformFilter_nativelink_simulation.rpt
    │           ├── TransformFilter_run_msim_gate_verilog.do
    │           ├── TransformFilter_run_msim_gate_verilog.do.bak
    │           ├── TransformFilter_run_msim_gate_verilog.do.bak1
    │           ├── TransformFilter_run_msim_gate_verilog.do.bak2
    │           ├── TransformFilter_run_msim_rtl_verilog.do
    │           ├── TransformFilter_run_msim_rtl_verilog.do.bak
    │           ├── TransformFilter_run_msim_rtl_verilog.do.bak1
    │           ├── TransformFilter_run_msim_rtl_verilog.do.bak2
    │           ├── TransformFilter_run_msim_rtl_verilog.do.bak3
    │           ├── TransformFilter_run_msim_rtl_verilog.do.bak4
    │           ├── TransformFilter_run_msim_rtl_verilog.do.bak5
    │           ├── TransformFilter_run_msim_rtl_verilog.do.bak6
    │           ├── TransformFilter_run_msim_rtl_verilog.do.bak7
    │           ├── TransformFilter_tb.cr.mti
    │           ├── TransformFilter_tb.mpf
    │           ├── TransformFilter_v.sdo
    │           ├── TransfromFilter_simulate.cr.mti
    │           ├── TransfromFilter_simulate.mpf
    │           ├── db
    │           ├── dram16_256.qip
    │           ├── dram16_256.v
    │           ├── dram16_256_bb.v
    │           ├── fft-library
    │           ├── fft256.bsf
    │           ├── fft256.html
    │           ├── fft256.qip
    │           ├── fft256.v
    │           ├── fft256.vo
    │           ├── fft256_1n256cos.hex
    │           ├── fft256_1n256sin.hex
    │           ├── fft256_1n256sin.ver
    │           ├── fft256_2n256cos.hex
    │           ├── fft256_2n256sin.hex
    │           ├── fft256_3n256cos.hex
    │           ├── fft256_3n256sin.hex
    │           ├── fft256_bb.v
    │           ├── fft256_imag_input.txt
    │           ├── fft256_model.m
    │           ├── fft256_nativelink.tcl
    │           ├── fft256_real_input.txt
    │           ├── fft256_syn.v
    │           ├── fft256_tb.m
    │           ├── fft256_tb.v
    │           ├── fft256_tb.vhd
    │           ├── greybox_tmp
    │           ├── incremental_db
    │           ├── modelsim.ini
    │           ├── msim_transcript
    │           ├── mult12_12.qip
    │           ├── mult12_12.v
    │           ├── mult12_12_bb.v
    │           ├── new_filter_simulate.cr.mti
    │           ├── new_filter_simulate.mpf
    │           ├── output_files
    │           ├── rom12_256.qip
    │           ├── rom12_256.v
    │           ├── rom12_256_bb.v
    │           ├── shifter12_128.qip
    │           ├── shifter12_128.v
    │           ├── shifter12_128_bb.v
    │           ├── shifter16_2048.qip
    │           ├── shifter16_2048.v
    │           ├── shifter16_2048_bb.v
    │           ├── shifter16_256.qip
    │           ├── shifter16_256.v
    │           ├── shifter16_256_bb.v
    │           ├── shifter16_258.qip
    │           ├── shiter16_128.v
    │           ├── shiter16_128_bb.v
    │           ├── simulation
    │           ├── source
    │           ├── transcript
    │           ├── vsim.wlf
    │           ├── window.vt
    │           ├── work
    │           └── work_new
    └── Chapter_9
        ├── E9_1
        │   └── Dpsk
        │       ├── Dpsk.jdi
        │       ├── Dpsk.qpf
        │       ├── Dpsk.qsf
        │       ├── Dpsk.qws
        │       ├── Dpsk.sdc
        │       ├── Dpsk_nativelink_simulation.rpt
        │       ├── db
        │       ├── dds.bsf
        │       ├── dds.html
        │       ├── dds.qip
        │       ├── dds.v
        │       ├── dds.vec
        │       ├── dds.vo
        │       ├── dds_bb.v
        │       ├── dds_cos.hex
        │       ├── dds_model.m
        │       ├── dds_nativelink.tcl
        │       ├── dds_sin.hex
        │       ├── dds_st.inc
        │       ├── dds_st.v
        │       ├── dds_tb.m
        │       ├── dds_tb.v
        │       ├── dds_tb.vhd
        │       ├── dds_vho_msim.tcl
        │       ├── dds_vo_msim.tcl
        │       ├── dds_wave.do
        │       ├── fir_compiler-library
        │       ├── greybox_tmp
        │       ├── incremental_db
        │       ├── lpf.bsf
        │       ├── lpf.html
        │       ├── lpf.qip
        │       ├── lpf.v
        │       ├── lpf.vec
        │       ├── lpf.vo
        │       ├── lpf_ast.vhd
        │       ├── lpf_bb.v
        │       ├── lpf_coef_int.txt
        │       ├── lpf_constraints.tcl
        │       ├── lpf_input.txt
        │       ├── lpf_mlab.m
        │       ├── lpf_model.m
        │       ├── lpf_msim.tcl
        │       ├── lpf_nativelink.tcl
        │       ├── lpf_param.txt
        │       ├── lpf_silent_param.txt
        │       ├── lpf_st.v
        │       ├── mult.qip
        │       ├── mult.v
        │       ├── mult_bb.v
        │       ├── nco-library
        │       ├── output_files
        │       ├── simulation
        │       ├── source
        │       └── tb_lpf.vhd
        ├── E9_1_DPSKSignalProduce.m
        ├── E9_1_DPSK_LPF.m
        ├── E9_1_lpf.txt
        └── E9_snr.m

157 directories, 592 files


网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警