在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例Clojure → VCS培训实验源码

VCS培训实验源码

Clojure

下载此实例
  • 开发语言:Others
  • 实例大小:0.83M
  • 下载次数:4
  • 浏览次数:222
  • 发布时间:2022-02-26
  • 实例类别:Clojure
  • 发 布 人:WhiteRpm
  • 文件格式:.zip
  • 所需积分:2
 相关标签: VCS 培训 IC

实例介绍

【实例简介】VCS培训实验源码

【实例截图】

from clipboard

【核心代码】

.
├── VCS_labs
│   ├── lab1
│   │   ├── parta
│   │   │   ├── Makefile
│   │   │   ├── add4.v
│   │   │   ├── add8.v
│   │   │   ├── adder8.fsdb
│   │   │   ├── adder_top
│   │   │   ├── adder_top.daidir
│   │   │   │   ├── _8443_archive_1.so
│   │   │   │   ├── binmap.sdb
│   │   │   │   ├── build_db
│   │   │   │   ├── cc
│   │   │   │   │   ├── cc_bcode.db
│   │   │   │   │   └── cc_dummy_file
│   │   │   │   ├── cgname.json
│   │   │   │   ├── covg_defs
│   │   │   │   ├── debug_dump
│   │   │   │   │   ├── HsimSigOptDb.sdb
│   │   │   │   │   ├── dumpcheck.db
│   │   │   │   │   ├── dve_debug.db.gz
│   │   │   │   │   ├── fsearch
│   │   │   │   │   │   ├── check_fsearch_db
│   │   │   │   │   │   ├── fsearch.stat
│   │   │   │   │   │   ├── idents_GJwlw8.xml.gz
│   │   │   │   │   │   └── idents_tapi.xml.gz
│   │   │   │   │   ├── src_files_verilog
│   │   │   │   │   ├── topmodules
│   │   │   │   │   └── vir.sdb
│   │   │   │   ├── eblklvl.db
│   │   │   │   ├── elabmoddb.sdb
│   │   │   │   ├── external_functions
│   │   │   │   ├── hslevel_callgraph.sdb
│   │   │   │   ├── hslevel_level.sdb
│   │   │   │   ├── hslevel_rtime_level.sdb
│   │   │   │   ├── nsparam.dat
│   │   │   │   ├── pcc.sdb
│   │   │   │   ├── pcxpxmr.dat
│   │   │   │   ├── prof.sdb
│   │   │   │   ├── rmapats.dat
│   │   │   │   ├── saifNetInfo.db
│   │   │   │   ├── simv.kdb
│   │   │   │   ├── stitch_nsparam.dat
│   │   │   │   ├── tt.sdb
│   │   │   │   ├── vcs_rebuild
│   │   │   │   ├── vcselab_master_hsim_elabout.db
│   │   │   │   ├── vcselab_misc_hsdef.db
│   │   │   │   ├── vcselab_misc_hsim_elab.db
│   │   │   │   ├── vcselab_misc_hsim_fegate.db
│   │   │   │   ├── vcselab_misc_hsim_lvl.db
│   │   │   │   ├── vcselab_misc_hsim_name.db
│   │   │   │   ├── vcselab_misc_hsim_uds.db
│   │   │   │   ├── vcselab_misc_midd.db
│   │   │   │   ├── vcselab_misc_mnmn.db
│   │   │   │   ├── vcselab_misc_partition.db
│   │   │   │   ├── vcselab_misc_vcselabref.db
│   │   │   │   └── vcselab_misc_vpdnodenums
│   │   │   ├── addertb.v
│   │   │   ├── compile.log
│   │   │   ├── csrc
│   │   │   │   ├── Makefile
│   │   │   │   ├── Makefile.hsopt
│   │   │   │   ├── SIM_l.o
│   │   │   │   ├── _vcs_pli_stub_.c
│   │   │   │   ├── _vcs_pli_stub_.o
│   │   │   │   ├── amcQwB.o
│   │   │   │   ├── archive.0
│   │   │   │   │   ├── _8443_archive_1.a
│   │   │   │   │   └── _8443_archive_1.a.info
│   │   │   │   ├── cgincr.sdb
│   │   │   │   ├── cginfo.json
│   │   │   │   ├── cgproc.8443.json
│   │   │   │   ├── diag
│   │   │   │   ├── filelist
│   │   │   │   ├── filelist.cu
│   │   │   │   ├── filelist.dpi
│   │   │   │   ├── filelist.hsopt
│   │   │   │   ├── filelist.hsopt.llvm2_0.objs
│   │   │   │   ├── filelist.hsopt.objs
│   │   │   │   ├── filelist.pli
│   │   │   │   ├── hsim
│   │   │   │   │   └── hsim.sdb
│   │   │   │   ├── import_dpic.h
│   │   │   │   ├── objs
│   │   │   │   │   └── amcQw_d.o
│   │   │   │   ├── product_timestamp
│   │   │   │   ├── rmapats.c
│   │   │   │   ├── rmapats.h
│   │   │   │   ├── rmapats.m
│   │   │   │   ├── rmapats.o
│   │   │   │   ├── rmapats_mop.o
│   │   │   │   ├── rmar.c
│   │   │   │   ├── rmar.h
│   │   │   │   ├── rmar.o
│   │   │   │   ├── rmar0.h
│   │   │   │   ├── rmar_llvm_0_0.o
│   │   │   │   └── rmar_llvm_0_1.o
│   │   │   ├── fa.v
│   │   │   ├── filelist
│   │   │   ├── novas.conf
│   │   │   ├── novas.rc
│   │   │   ├── novas_dump.log
│   │   │   ├── run.log
│   │   │   ├── ucli.key
│   │   │   ├── verdiLog
│   │   │   │   ├── ToNetlist.log
│   │   │   │   ├── compiler.log
│   │   │   │   ├── exe.log
│   │   │   │   ├── fsdb.log
│   │   │   │   ├── novas.log
│   │   │   │   ├── novas.rc
│   │   │   │   ├── novas_autosave.ses
│   │   │   │   ├── novas_autosave.ses.config
│   │   │   │   ├── novas_autosave.ses.png
│   │   │   │   ├── novas_autosave.ses.wave.0
│   │   │   │   ├── turbo.log
│   │   │   │   └── verdi.cmd
│   │   │   └── wave.sh
│   │   └── partb
│   │       ├── add8.v
│   │       └── addertb.v
│   ├── lab2
│   │   ├── parta
│   │   │   ├── add4.v
│   │   │   ├── add8.v
│   │   │   ├── adder.f
│   │   │   ├── addertb.v
│   │   │   └── fa.v
│   │   └── partb
│   │       ├── add4.v
│   │       ├── add8.v
│   │       ├── adder.f
│   │       ├── addertb.v
│   │       ├── fa.v
│   │       └── test.s
│   ├── lab3
│   │   ├── cleanup
│   │   ├── fifo.sva
│   │   ├── fifo.v
│   │   ├── fifo_tb.v
│   │   ├── run1.f
│   │   ├── run1sva.f
│   │   ├── run_debug
│   │   ├── run_debug_all
│   │   ├── run_debug_sva
│   │   ├── start_over
│   │   │   ├── fifo.v
│   │   │   ├── fifo_fix1
│   │   │   ├── fifo_tb.v
│   │   │   └── run1.f
│   │   └── vcdplus_trace.vpd
│   ├── lab5
│   │   ├── parta
│   │   │   ├── add4.v
│   │   │   ├── add8.v
│   │   │   ├── adder.f
│   │   │   ├── addertb.v
│   │   │   └── fa.v
│   │   └── partb
│   │       ├── adder.f
│   │       ├── adder.v
│   │       ├── addertb.v
│   │       └── reference.dump
│   ├── lab6
│   │   ├── parta
│   │   │   ├── CAM.v
│   │   │   ├── codec.f
│   │   │   ├── codec.v
│   │   │   ├── codectb.v
│   │   │   ├── fileio.o
│   │   │   ├── solution
│   │   │   │   ├── CAM1.v
│   │   │   │   └── CAM2.v
│   │   │   └── test
│   │   └── partb
│   │       ├── CAM2.v
│   │       ├── codec.f
│   │       ├── codec.v
│   │       ├── codectb.v
│   │       ├── fileio.o
│   │       └── test
│   ├── lab7
│   │   ├── fifo.f
│   │   ├── fifo32X8.sdf
│   │   ├── fifo32X8.v
│   │   ├── fifo32X8_gate.v
│   │   ├── fifo32X8tb.v
│   │   ├── fifo_cntrl.v
│   │   ├── fifo_gate.f
│   │   ├── fifo_mem.v
│   │   ├── fileio.o
│   │   └── ram16X8.v
│   ├── lab8
│   │   ├── CODE
│   │   │   ├── data1
│   │   │   ├── data2
│   │   │   ├── data3
│   │   │   ├── data4
│   │   │   ├── data5
│   │   │   ├── text1
│   │   │   ├── text2
│   │   │   ├── text3
│   │   │   ├── text4
│   │   │   └── text5
│   │   ├── clean
│   │   ├── data_segment
│   │   ├── design
│   │   │   ├── alu.v
│   │   │   ├── alu_control.v
│   │   │   ├── cpu.v
│   │   │   ├── decode.orig.v
│   │   │   ├── decode.v
│   │   │   ├── globals.v
│   │   │   ├── quick_compare.v
│   │   │   ├── regfile.v
│   │   │   ├── system.v
│   │   │   ├── testbench.v
│   │   │   └── wb_control.v
│   │   ├── files
│   │   ├── run_all
│   │   ├── run_base
│   │   ├── run_fsm
│   │   ├── run_line
│   │   └── text_segment
│   └── lib
│       ├── add4.v
│       ├── fa.v
│       ├── fileio2.0
│       │   ├── compare.pat
│       │   ├── compare.v
│       │   ├── data.bin
│       │   ├── data.c
│       │   ├── fileio.c
│       │   ├── fileio.o
│       │   ├── fileio.tab
│       │   ├── fileio_32.o
│       │   ├── fileio_task.tab
│       │   ├── load_mem.v
│       │   ├── read_pattern.pat
│       │   ├── read_pattern.v
│       │   ├── script.v
│       │   ├── strobe_compare.c
│       │   ├── strobe_compare.tab
│       │   └── veriuser.c
│       └── vendor_lib
│           └── core.v
└── VCS培训实验源码.zip

33 directories, 214 files


标签: VCS 培训 IC

实例下载地址

VCS培训实验源码

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警