在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → vivado使用中文教程

vivado使用中文教程

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:7.55M
  • 下载次数:17
  • 浏览次数:144
  • 发布时间:2022-02-17
  • 实例类别:一般编程问题
  • 发 布 人:theory2
  • 文件格式:.pdf
  • 所需积分:2
 相关标签: Vivado

实例介绍

【实例简介】vivado使用中文教程

Vivado设计套件可用于不同类型的设计。根据不同设计类型,所涉及的Vivado设计套件流程和特性会有所不同。本文档详细介绍基于HDL的FPGA设计流程。该流程中RTL源文件、IP核或第三方综合网表通过实现步骤编译而成,其结果随后可用于编程和调试FPGA器件。 

 【实例截图】

【核心代码】

目录
第 1 章 :引言
关于本指南 ............................................................................................................................................ 6
指南内容 ................................................................................................................................................ 6
指南适用性与参考资料 ........................................................................................................................ 7
设计方法需求 ........................................................................................................................................ 7
设计方法检查清单 ................................................................................................................................ 8
设计流程 ................................................................................................................................................ 9
快速验证 .............................................................................................................................................. 12
访问技术文档和培训资料 .................................................................................................................. 13
第 2 章 :Vivado 设计套件流程
Vivado 设计套件流程简介 .................................................................................................................. 16
Vivado 设计套件使用模型 .................................................................................................................. 18
配置和管理 IP ...................................................................................................................................... 22
用 IP 集成器创建与管理 IP 子系统 .................................................................................................... 29
封装定制 IP 和 IP 子系统 .................................................................................................................... 33
创建定制接口 ...................................................................................................................................... 34
源文件管理 .......................................................................................................................................... 34
将设计与 IP 升级到最新 Vivado 版本 ................................................................................................ 36
配合使用源文件控制系统和 Vivado 工具 ......................................................................................... 37
使用 Vivado 仿真 ................................................................................................................................. 40
使用第三方综合与仿真工具 .............................................................................................................. 46
第 3 章 :单板和器件规划
单板和器件规划简介 .......................................................................................................................... 48
PCB 布局建议 ...................................................................................................................................... 48
时钟资源规划与分配 .......................................................................................................................... 50
I/O 规划设计流程................................................................................................................................ 52
改成 FPGA 电源系统 ........................................................................................................................... 66
china.xilinx.com
Send Feedback
4
UltraFast 设计方法指南
UG949(2014.1 版本)2014 年 4 月 2 日
利用赛灵思功耗估计器(XPE)进行最差情况功耗分析 .................................................................. 76
配置...................................................................................................................................................... 80
第 4 章 :设计创建
设计创建简介 ...................................................................................................................................... 90
定义理想的设计层级 .......................................................................................................................... 91
充分使用 IP 核 ..................................................................................................................................... 94
RTL 编码指南 ..................................................................................................................................... 101
控制信号和控制集 ............................................................................................................................ 108
掌握调用的结果 ................................................................................................................................ 118
调用 RAM 和 ROM ............................................................................................................................. 119
适用 DSP 和算法调用的编码............................................................................................................ 125
移位寄存器和延迟线编码 ................................................................................................................ 126
初始化全部调用的寄存器、SRL 和存储器 ..................................................................................... 127
参数、属性和约束 ............................................................................................................................ 128
时钟.................................................................................................................................................... 132
判断实例化或调用的时机 ................................................................................................................ 147
提高可靠性的编码方式 .................................................................................................................... 148
改善性能的编码方式 ........................................................................................................................ 153
改善功耗的编码方式 ........................................................................................................................ 158
创建约束 ............................................................................................................................................ 161
第 5 章 :实现
实现简介 ............................................................................................................................................ 202
综合.................................................................................................................................................... 202
综合属性 ............................................................................................................................................ 206
自下而上流程 .................................................................................................................................... 208
综合后的步骤 .................................................................................................................................... 210
实现设计 ............................................................................................................................................ 213
时序收敛 ............................................................................................................................................ 227
功耗.................................................................................................................................................... 283
第 6 章 :配置与调试
配置与调试简介 ................................................................................................................................ 294
配置.................................................................................................................................................... 294
调试.................................................................................................................................................... 305
Send Feedback
5
: 目录
UltraFast 设计方法指南
UG949(2014.1 版本)2014 年 4 月 2 日
china.xilinx.com
附录 A :基线(baselining)与时序约束验证流程
简介.................................................................................................................................................... 317
过程.................................................................................................................................................... 317
附录 B :附加资源与法律提示
赛灵思资源 ........................................................................................................................................ 319
解决方案中心 .................................................................................................................................... 319
参考资料 ............................................................................................................................................ 319
请阅读 :重要法律提示 .................................................................................................................... 322

标签: Vivado

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警