实例介绍
这是一个基于NIOSII的俄罗斯方块游戏设计,是基于FPGA的,利用流模式DMA传输实现游戏
【实例截图】
【核心代码】
16359647281245541337.rar
└── 这是一个基于NIOSII的俄罗斯方块游戏设计,是基于FPGA的,利用流模式DMA传输实现游戏
└── eluosi_game
├── 11.c
├── altera_vhdl_support.vhd
├── boot_rom.hex
├── boot_rom.vhd
├── button_isr_test.c
├── button_pio.vhd
├── Chain1.cdf
├── cmp_state.ini
├── component_builder_logfile.txt
├── cpu_jtag_debug_module.vhd
├── cpu_jtag_debug_module_wrapper.vhd
├── cpu_ociram_default_contents.mif
├── cpu.ocp
├── cpu_test_bench.vhd
├── cpu.vhd
├── db
│ ├── add_sub_ovb.tdf
│ ├── a_dpfifo_83p.tdf
│ ├── a_fefifo_46d.tdf
│ ├── a_fefifo_7cf.tdf
│ ├── a_fefifo_v5d.tdf
│ ├── a_gray2bin_26b.tdf
│ ├── a_graycounter_626.tdf
│ ├── a_graycounter_726.tdf
│ ├── alt_synch_pipe_bb3.tdf
│ ├── alt_synch_pipe_cb3.tdf
│ ├── alt_synch_pipe_db3.tdf
│ ├── altsyncram_1kc1.tdf
│ ├── altsyncram_bhc1.tdf
│ ├── altsyncram_dno1.tdf
│ ├── altsyncram_gpm1.tdf
│ ├── altsyncram_u301.tdf
│ ├── altsyncram_vkt1.tdf
│ ├── cntr_9c7.tdf
│ ├── cntr_df8.tdf
│ ├── cntr_i08.tdf
│ ├── cntr_rd8.tdf
│ ├── dcfifo_do01.tdf
│ ├── decode_9ie.tdf
│ ├── decode_imb.tdf
│ ├── decode_tkb.tdf
│ ├── dffpipe_9b3.tdf
│ ├── dffpipe_bb3.tdf
│ ├── dffpipe_cb3.tdf
│ ├── dffpipe_db3.tdf
│ ├── dpram_75p.tdf
│ ├── dpram_jor.tdf
│ ├── elsfk_cmp.qrpt
│ ├── elsfk.db_info
│ ├── elsfk.eco.cdb
│ ├── elsfk.sld_design_entry.sci
│ ├── mux_hic.tdf
│ ├── mux_sgc.tdf
│ └── scfifo_1to.tdf
├── delay_reset.bdf
├── delay_reset.bsf
├── delay_reset.vhd
├── dma.vhd
├── elsfk.asm.rpt
├── elsfk_assignment_defaults.qdf
├── elsfk.bdf
├── elsfk.cdf
├── elsfk.done
├── elsfk.fit.eqn
├── elsfk.fit.rpt
├── elsfk.fit.summary
├── elsfk.flow.rpt
├── elsfk.map.eqn
├── elsfk.map.rpt
├── elsfk.map.summary
├── elsfk.pin
├── elsfk.pof
├── elsfk.qpf
├── elsfk.qsf
├── elsfk.qsf.bak
├── elsfk.qws
├── elsfk.sof
├── elsfk.tan.rpt
├── elsfk.tan.summary
├── FK1.c
├── FK.c
├── FK.h
├── For_UP3.csv
├── jtag_uart.vhd
├── lcd.vhd
├── led_pio.vhd
├── lpm_counter1.bsf
├── lpm_counter1.vhd
├── lpm_counter1_wave0.jpg
├── lpm_counter1_waveforms.html
├── Multiplexer_3_Channel_M.v
├── nios32.bsf
├── nios32_generation_script
├── nios32_log.txt
├── nios32.ptf
├── nios32.ptf.bak
├── nios32_setup_quartus.tcl
├── nios32_sim
│ ├── atail-f.pl
│ ├── dummy_file
│ ├── jtag_uart_input_mutex.dat
│ ├── jtag_uart_input_stream.dat
│ ├── jtag_uart_output_stream.dat
│ ├── uart_input_data_mutex.dat
│ ├── uart_input_data_stream.dat
│ └── uart_log_module.txt
├── nios32.v
├── nios32.vhd
├── pll1.bsf
├── pll1.vhd
├── rf_ram.mif
├── sdram_test_component.vhd
├── sdram.vhd
├── serv_req_info.txt
├── SLS_TriState_Components_Interface.bdf
├── SLS_TriState_Components_Interface.bsf
├── SLS_TriState_Components_Interface.v
├── software
│ ├── blank_project
│ │ ├── application.stf
│ │ ├── Debug
│ │ │ ├── blank_project.elf
│ │ │ ├── ext_flash.flash
│ │ │ ├── generated_app.sh
│ │ │ ├── makefile
│ │ │ ├── obj
│ │ │ │ ├── mem.d
│ │ │ │ └── mem.o
│ │ │ └── subdir.mk
│ │ ├── mem.c
│ │ ├── nios2-gdb-server.exe.stackdump
│ │ └── readme.txt
│ ├── blank_project_syslib
│ │ ├── Debug
│ │ │ ├── crt0.d
│ │ │ ├── crt0.o
│ │ │ ├── libblank_project_syslib.a
│ │ │ ├── makefile
│ │ │ ├── obj
│ │ │ │ ├── alt_alarm_start.d
│ │ │ │ ├── alt_alarm_start.o
│ │ │ │ ├── alt_busy_sleep.d
│ │ │ │ ├── alt_busy_sleep.o
│ │ │ │ ├── alt_close.d
│ │ │ │ ├── alt_close.o
│ │ │ │ ├── alt_dcache_flush_all.d
│ │ │ │ ├── alt_dcache_flush_all.o
│ │ │ │ ├── alt_dcache_flush.d
│ │ │ │ ├── alt_dcache_flush.o
│ │ │ │ ├── alt_dev.d
│ │ │ │ ├── alt_dev_llist_insert.d
│ │ │ │ ├── alt_dev_llist_insert.o
│ │ │ │ ├── alt_dev.o
│ │ │ │ ├── alt_dma_rxchan_open.d
│ │ │ │ ├── alt_dma_rxchan_open.o
│ │ │ │ ├── alt_dma_txchan_open.d
│ │ │ │ ├── alt_dma_txchan_open.o
│ │ │ │ ├── alt_do_ctors.d
│ │ │ │ ├── alt_do_ctors.o
│ │ │ │ ├── alt_do_dtors.d
│ │ │ │ ├── alt_do_dtors.o
│ │ │ │ ├── alt_environ.d
│ │ │ │ ├── alt_environ.o
│ │ │ │ ├── alt_env_lock.d
│ │ │ │ ├── alt_env_lock.o
│ │ │ │ ├── altera_avalon_cfi_flash_amd.d
│ │ │ │ ├── altera_avalon_cfi_flash_amd.o
│ │ │ │ ├── altera_avalon_cfi_flash.d
│ │ │ │ ├── altera_avalon_cfi_flash_intel.d
│ │ │ │ ├── altera_avalon_cfi_flash_intel.o
│ │ │ │ ├── altera_avalon_cfi_flash.o
│ │ │ │ ├── altera_avalon_cfi_flash_table.d
│ │ │ │ ├── altera_avalon_cfi_flash_table.o
│ │ │ │ ├── altera_avalon_dma.d
│ │ │ │ ├── altera_avalon_dma.o
│ │ │ │ ├── altera_avalon_jtag_uart.d
│ │ │ │ ├── altera_avalon_jtag_uart.o
│ │ │ │ ├── altera_avalon_sysid.d
│ │ │ │ ├── altera_avalon_sysid.o
│ │ │ │ ├── altera_avalon_timer_sc.d
│ │ │ │ ├── altera_avalon_timer_sc.o
│ │ │ │ ├── altera_avalon_timer_ts.d
│ │ │ │ ├── altera_avalon_timer_ts.o
│ │ │ │ ├── altera_avalon_timer_vars.d
│ │ │ │ ├── altera_avalon_timer_vars.o
│ │ │ │ ├── alt_errno.d
│ │ │ │ ├── alt_errno.o
│ │ │ │ ├── alt_exception_entry.d
│ │ │ │ ├── alt_exception_entry.o
│ │ │ │ ├── alt_exception_muldiv.d
│ │ │ │ ├── alt_exception_muldiv.o
│ │ │ │ ├── alt_exception_trap.d
│ │ │ │ ├── alt_exception_trap.o
│ │ │ │ ├── alt_execve.d
│ │ │ │ ├── alt_execve.o
│ │ │ │ ├── alt_exit.d
│ │ │ │ ├── alt_exit.o
│ │ │ │ ├── alt_fcntl.d
│ │ │ │ ├── alt_fcntl.o
│ │ │ │ ├── alt_fd_lock.d
│ │ │ │ ├── alt_fd_lock.o
│ │ │ │ ├── alt_fd_unlock.d
│ │ │ │ ├── alt_fd_unlock.o
│ │ │ │ ├── alt_find_dev.d
│ │ │ │ ├── alt_find_dev.o
│ │ │ │ ├── alt_find_file.d
│ │ │ │ ├── alt_find_file.o
│ │ │ │ ├── alt_flash_dev.d
│ │ │ │ ├── alt_flash_dev.o
│ │ │ │ ├── alt_fork.d
│ │ │ │ ├── alt_fork.o
│ │ │ │ ├── alt_fs_reg.d
│ │ │ │ ├── alt_fs_reg.o
│ │ │ │ ├── alt_fstat.d
│ │ │ │ ├── alt_fstat.o
│ │ │ │ ├── alt_get_fd.d
│ │ │ │ ├── alt_get_fd.o
│ │ │ │ ├── alt_getpid.d
│ │ │ │ ├── alt_getpid.o
│ │ │ │ ├── alt_gettod.d
│ │ │ │ ├── alt_gettod.o
│ │ │ │ ├── alt_gmon.d
│ │ │ │ ├── alt_gmon.o
│ │ │ │ ├── alt_icache_flush_all.d
│ │ │ │ ├── alt_icache_flush_all.o
│ │ │ │ ├── alt_icache_flush.d
│ │ │ │ ├── alt_icache_flush.o
│ │ │ │ ├── alt_ioctl.d
│ │ │ │ ├── alt_ioctl.o
│ │ │ │ ├── alt_io_redirect.d
│ │ │ │ ├── alt_io_redirect.o
│ │ │ │ ├── alt_irq_entry.d
│ │ │ │ ├── alt_irq_entry.o
│ │ │ │ ├── alt_irq_handler.d
│ │ │ │ ├── alt_irq_handler.o
│ │ │ │ ├── alt_irq_register.d
│ │ │ │ ├── alt_irq_register.o
│ │ │ │ ├── alt_irq_vars.d
│ │ │ │ ├── alt_irq_vars.o
│ │ │ │ ├── alt_isatty.d
│ │ │ │ ├── alt_isatty.o
│ │ │ │ ├── alt_kill.d
│ │ │ │ ├── alt_kill.o
│ │ │ │ ├── alt_link.d
│ │ │ │ ├── alt_link.o
│ │ │ │ ├── alt_load.d
│ │ │ │ ├── alt_load.o
│ │ │ │ ├── alt_lseek.d
│ │ │ │ ├── alt_lseek.o
│ │ │ │ ├── alt_main.d
│ │ │ │ ├── alt_main.o
│ │ │ │ ├── alt_malloc_lock.d
│ │ │ │ ├── alt_malloc_lock.o
│ │ │ │ ├── alt_mcount.d
│ │ │ │ ├── alt_mcount.o
│ │ │ │ ├── alt_open.d
│ │ │ │ ├── alt_open.o
│ │ │ │ ├── alt_read.d
│ │ │ │ ├── alt_read.o
│ │ │ │ ├── alt_release_fd.d
│ │ │ │ ├── alt_release_fd.o
│ │ │ │ ├── alt_remap_cached.d
│ │ │ │ ├── alt_remap_cached.o
│ │ │ │ ├── alt_remap_uncached.d
│ │ │ │ ├── alt_remap_uncached.o
│ │ │ │ ├── alt_rename.d
│ │ │ │ ├── alt_rename.o
│ │ │ │ ├── alt_sbrk.d
│ │ │ │ ├── alt_sbrk.o
│ │ │ │ ├── alt_settod.d
│ │ │ │ ├── alt_settod.o
│ │ │ │ ├── alt_software_exception.d
│ │ │ │ ├── alt_software_exception.o
│ │ │ │ ├── alt_stat.d
│ │ │ │ ├── alt_stat.o
│ │ │ │ ├── alt_sys_init.c-t
│ │ │ │ ├── alt_sys_init.d
│ │ │ │ ├── alt_sys_init.o
│ │ │ │ ├── alt_tick.d
│ │ │ │ ├── alt_tick.o
│ │ │ │ ├── alt_times.d
│ │ │ │ ├── alt_times.o
│ │ │ │ ├── alt_uncached_free.d
│ │ │ │ ├── alt_uncached_free.o
│ │ │ │ ├── alt_uncached_malloc.d
│ │ │ │ ├── alt_uncached_malloc.o
│ │ │ │ ├── alt_unlink.d
│ │ │ │ ├── alt_unlink.o
│ │ │ │ ├── alt_usleep.d
│ │ │ │ ├── alt_usleep.o
│ │ │ │ ├── alt_wait.d
│ │ │ │ ├── alt_wait.o
│ │ │ │ ├── alt_write.d
│ │ │ │ ├── alt_write.o
│ │ │ │ ├── generated_all.mk-t
│ │ │ │ ├── generated_app.mk-t
│ │ │ │ ├── generated.gdb-t
│ │ │ │ ├── generated.sh-t
│ │ │ │ ├── generated.x-t
│ │ │ │ └── system.h-t
│ │ │ └── system_description
│ │ │ ├── alt_sys_init.c
│ │ │ ├── generated_all.mk
│ │ │ ├── generated_app.mk
│ │ │ ├── generated.gdb
│ │ │ ├── generated.sh
│ │ │ ├── generated.x
│ │ │ └── system.h
│ │ ├── readme.txt
│ │ └── system.stf
│ ├── ELS_syslib
│ ├── fkk
│ │ ├── application.stf
│ │ ├── Debug
│ │ │ ├── ext_flash.flash
│ │ │ ├── fkk.elf
│ │ │ ├── generated_app.sh
│ │ │ ├── makefile
│ │ │ ├── obj
│ │ │ │ ├── FK.d
│ │ │ │ └── FK.o
│ │ │ └── subdir.mk
│ │ ├── FK.c
│ │ ├── FK.h
│ │ └── readme.txt
│ ├── fkk_syslib
│ │ ├── Debug
│ │ │ ├── crt0.d
│ │ │ ├── crt0.o
│ │ │ ├── libfkk_syslib.a
│ │ │ ├── makefile
│ │ │ ├── obj
│ │ │ │ ├── alt_alarm_start.d
│ │ │ │ ├── alt_alarm_start.o
│ │ │ │ ├── alt_busy_sleep.d
│ │ │ │ ├── alt_busy_sleep.o
│ │ │ │ ├── alt_close.d
│ │ │ │ ├── alt_close.o
│ │ │ │ ├── alt_dcache_flush_all.d
│ │ │ │ ├── alt_dcache_flush_all.o
│ │ │ │ ├── alt_dcache_flush.d
│ │ │ │ ├── alt_dcache_flush.o
│ │ │ │ ├── alt_dev.d
│ │ │ │ ├── alt_dev_llist_insert.d
│ │ │ │ ├── alt_dev_llist_insert.o
│ │ │ │ ├── alt_dev.o
│ │ │ │ ├── alt_dma_rxchan_open.d
│ │ │ │ ├── alt_dma_rxchan_open.o
│ │ │ │ ├── alt_dma_txchan_open.d
│ │ │ │ ├── alt_dma_txchan_open.o
│ │ │ │ ├── alt_do_ctors.d
│ │ │ │ ├── alt_do_ctors.o
│ │ │ │ ├── alt_do_dtors.d
│ │ │ │ ├── alt_do_dtors.o
│ │ │ │ ├── alt_environ.d
│ │ │ │ ├── alt_environ.o
│ │ │ │ ├── alt_env_lock.d
│ │ │ │ ├── alt_env_lock.o
│ │ │ │ ├── altera_avalon_cfi_flash_amd.d
│ │ │ │ ├── altera_avalon_cfi_flash_amd.o
│ │ │ │ ├── altera_avalon_cfi_flash.d
│ │ │ │ ├── altera_avalon_cfi_flash_intel.d
│ │ │ │ ├── altera_avalon_cfi_flash_intel.o
│ │ │ │ ├── altera_avalon_cfi_flash.o
│ │ │ │ ├── altera_avalon_cfi_flash_table.d
│ │ │ │ ├── altera_avalon_cfi_flash_table.o
│ │ │ │ ├── altera_avalon_dma.d
│ │ │ │ ├── altera_avalon_dma.o
│ │ │ │ ├── altera_avalon_jtag_uart.d
│ │ │ │ ├── altera_avalon_jtag_uart.o
│ │ │ │ ├── altera_avalon_sysid.d
│ │ │ │ ├── altera_avalon_sysid.o
│ │ │ │ ├── altera_avalon_timer_sc.d
│ │ │ │ ├── altera_avalon_timer_sc.o
│ │ │ │ ├── altera_avalon_timer_ts.d
│ │ │ │ ├── altera_avalon_timer_ts.o
│ │ │ │ ├── altera_avalon_timer_vars.d
│ │ │ │ ├── altera_avalon_timer_vars.o
│ │ │ │ ├── alt_errno.d
│ │ │ │ ├── alt_errno.o
│ │ │ │ ├── alt_exception_entry.d
│ │ │ │ ├── alt_exception_entry.o
│ │ │ │ ├── alt_exception_muldiv.d
│ │ │ │ ├── alt_exception_muldiv.o
│ │ │ │ ├── alt_exception_trap.d
│ │ │ │ ├── alt_exception_trap.o
│ │ │ │ ├── alt_execve.d
│ │ │ │ ├── alt_execve.o
│ │ │ │ ├── alt_exit.d
│ │ │ │ ├── alt_exit.o
│ │ │ │ ├── alt_fcntl.d
│ │ │ │ ├── alt_fcntl.o
│ │ │ │ ├── alt_fd_lock.d
│ │ │ │ ├── alt_fd_lock.o
│ │ │ │ ├── alt_fd_unlock.d
│ │ │ │ ├── alt_fd_unlock.o
│ │ │ │ ├── alt_find_dev.d
│ │ │ │ ├── alt_find_dev.o
│ │ │ │ ├── alt_find_file.d
│ │ │ │ ├── alt_find_file.o
│ │ │ │ ├── alt_flash_dev.d
│ │ │ │ ├── alt_flash_dev.o
│ │ │ │ ├── alt_fork.d
│ │ │ │ ├── alt_fork.o
│ │ │ │ ├── alt_fs_reg.d
│ │ │ │ ├── alt_fs_reg.o
│ │ │ │ ├── alt_fstat.d
│ │ │ │ ├── alt_fstat.o
│ │ │ │ ├── alt_get_fd.d
│ │ │ │ ├── alt_get_fd.o
│ │ │ │ ├── alt_getpid.d
│ │ │ │ ├── alt_getpid.o
│ │ │ │ ├── alt_gettod.d
│ │ │ │ ├── alt_gettod.o
│ │ │ │ ├── alt_gmon.d
│ │ │ │ ├── alt_gmon.o
│ │ │ │ ├── alt_icache_flush_all.d
│ │ │ │ ├── alt_icache_flush_all.o
│ │ │ │ ├── alt_icache_flush.d
│ │ │ │ ├── alt_icache_flush.o
│ │ │ │ ├── alt_ioctl.d
│ │ │ │ ├── alt_ioctl.o
│ │ │ │ ├── alt_io_redirect.d
│ │ │ │ ├── alt_io_redirect.o
│ │ │ │ ├── alt_irq_entry.d
│ │ │ │ ├── alt_irq_entry.o
│ │ │ │ ├── alt_irq_handler.d
│ │ │ │ ├── alt_irq_handler.o
│ │ │ │ ├── alt_irq_register.d
│ │ │ │ ├── alt_irq_register.o
│ │ │ │ ├── alt_irq_vars.d
│ │ │ │ ├── alt_irq_vars.o
│ │ │ │ ├── alt_isatty.d
│ │ │ │ ├── alt_isatty.o
│ │ │ │ ├── alt_kill.d
│ │ │ │ ├── alt_kill.o
│ │ │ │ ├── alt_link.d
│ │ │ │ ├── alt_link.o
│ │ │ │ ├── alt_load.d
│ │ │ │ ├── alt_load.o
│ │ │ │ ├── alt_lseek.d
│ │ │ │ ├── alt_lseek.o
│ │ │ │ ├── alt_main.d
│ │ │ │ ├── alt_main.o
│ │ │ │ ├── alt_malloc_lock.d
│ │ │ │ ├── alt_malloc_lock.o
│ │ │ │ ├── alt_mcount.d
│ │ │ │ ├── alt_mcount.o
│ │ │ │ ├── alt_open.d
│ │ │ │ ├── alt_open.o
│ │ │ │ ├── alt_read.d
│ │ │ │ ├── alt_read.o
│ │ │ │ ├── alt_release_fd.d
│ │ │ │ ├── alt_release_fd.o
│ │ │ │ ├── alt_remap_cached.d
│ │ │ │ ├── alt_remap_cached.o
│ │ │ │ ├── alt_remap_uncached.d
│ │ │ │ ├── alt_remap_uncached.o
│ │ │ │ ├── alt_rename.d
│ │ │ │ ├── alt_rename.o
│ │ │ │ ├── alt_sbrk.d
│ │ │ │ ├── alt_sbrk.o
│ │ │ │ ├── alt_settod.d
│ │ │ │ ├── alt_settod.o
│ │ │ │ ├── alt_software_exception.d
│ │ │ │ ├── alt_software_exception.o
│ │ │ │ ├── alt_stat.d
│ │ │ │ ├── alt_stat.o
│ │ │ │ ├── alt_sys_init.c-t
│ │ │ │ ├── alt_sys_init.d
│ │ │ │ ├── alt_sys_init.o
│ │ │ │ ├── alt_tick.d
│ │ │ │ ├── alt_tick.o
│ │ │ │ ├── alt_times.d
│ │ │ │ ├── alt_times.o
│ │ │ │ ├── alt_uncached_free.d
│ │ │ │ ├── alt_uncached_free.o
│ │ │ │ ├── alt_uncached_malloc.d
│ │ │ │ ├── alt_uncached_malloc.o
│ │ │ │ ├── alt_unlink.d
│ │ │ │ ├── alt_unlink.o
│ │ │ │ ├── alt_usleep.d
│ │ │ │ ├── alt_usleep.o
│ │ │ │ ├── alt_wait.d
│ │ │ │ ├── alt_wait.o
│ │ │ │ ├── alt_write.d
│ │ │ │ ├── alt_write.o
│ │ │ │ ├── generated_all.mk-t
│ │ │ │ ├── generated_app.mk-t
│ │ │ │ ├── generated.gdb-t
│ │ │ │ ├── generated.sh-t
│ │ │ │ ├── generated.x-t
│ │ │ │ └── system.h-t
│ │ │ └── system_description
│ │ │ ├── alt_sys_init.c
│ │ │ ├── generated_all.mk
│ │ │ ├── generated_app.mk
│ │ │ ├── generated.gdb
│ │ │ ├── generated.sh
│ │ │ ├── generated.x
│ │ │ └── system.h
│ │ ├── readme.txt
│ │ └── system.stf
│ ├── tiaoshi
│ │ ├── application.stf
│ │ ├── Debug
│ │ │ ├── ext_flash.flash
│ │ │ ├── generated_app.sh
│ │ │ ├── makefile
│ │ │ ├── nios2-download.pid
│ │ │ ├── obj
│ │ │ │ ├── FK.d
│ │ │ │ └── FK.o
│ │ │ ├── subdir.mk
│ │ │ └── tiaoshi.elf
│ │ ├── FK.c
│ │ ├── FK.h
│ │ └── readme.txt
│ └── tiaoshi_syslib
│ ├── Debug
│ │ ├── crt0.d
│ │ ├── crt0.o
│ │ ├── libtiaoshi_syslib.a
│ │ ├── makefile
│ │ ├── obj
│ │ │ ├── alt_alarm_start.d
│ │ │ ├── alt_alarm_start.o
│ │ │ ├── alt_busy_sleep.d
│ │ │ ├── alt_busy_sleep.o
│ │ │ ├── alt_close.d
│ │ │ ├── alt_close.o
│ │ │ ├── alt_dcache_flush_all.d
│ │ │ ├── alt_dcache_flush_all.o
│ │ │ ├── alt_dcache_flush.d
│ │ │ ├── alt_dcache_flush.o
│ │ │ ├── alt_dev.d
│ │ │ ├── alt_dev_llist_insert.d
│ │ │ ├── alt_dev_llist_insert.o
│ │ │ ├── alt_dev.o
│ │ │ ├── alt_dma_rxchan_open.d
│ │ │ ├── alt_dma_rxchan_open.o
│ │ │ ├── alt_dma_txchan_open.d
│ │ │ ├── alt_dma_txchan_open.o
│ │ │ ├── alt_do_ctors.d
│ │ │ ├── alt_do_ctors.o
│ │ │ ├── alt_do_dtors.d
│ │ │ ├── alt_do_dtors.o
│ │ │ ├── alt_environ.d
│ │ │ ├── alt_environ.o
│ │ │ ├── alt_env_lock.d
│ │ │ ├── alt_env_lock.o
│ │ │ ├── altera_avalon_cfi_flash_amd.d
│ │ │ ├── altera_avalon_cfi_flash_amd.o
│ │ │ ├── altera_avalon_cfi_flash.d
│ │ │ ├── altera_avalon_cfi_flash_intel.d
│ │ │ ├── altera_avalon_cfi_flash_intel.o
│ │ │ ├── altera_avalon_cfi_flash.o
│ │ │ ├── altera_avalon_cfi_flash_table.d
│ │ │ ├── altera_avalon_cfi_flash_table.o
│ │ │ ├── altera_avalon_dma.d
│ │ │ ├── altera_avalon_dma.o
│ │ │ ├── altera_avalon_jtag_uart.d
│ │ │ ├── altera_avalon_jtag_uart.o
│ │ │ ├── altera_avalon_sysid.d
│ │ │ ├── altera_avalon_sysid.o
│ │ │ ├── altera_avalon_timer_sc.d
│ │ │ ├── altera_avalon_timer_sc.o
│ │ │ ├── altera_avalon_timer_ts.d
│ │ │ ├── altera_avalon_timer_ts.o
│ │ │ ├── altera_avalon_timer_vars.d
│ │ │ ├── altera_avalon_timer_vars.o
│ │ │ ├── alt_errno.d
│ │ │ ├── alt_errno.o
│ │ │ ├── alt_exception_entry.d
│ │ │ ├── alt_exception_entry.o
│ │ │ ├── alt_exception_muldiv.d
│ │ │ ├── alt_exception_muldiv.o
│ │ │ ├── alt_exception_trap.d
│ │ │ ├── alt_exception_trap.o
│ │ │ ├── alt_execve.d
│ │ │ ├── alt_execve.o
│ │ │ ├── alt_exit.d
│ │ │ ├── alt_exit.o
│ │ │ ├── alt_fcntl.d
│ │ │ ├── alt_fcntl.o
│ │ │ ├── alt_fd_lock.d
│ │ │ ├── alt_fd_lock.o
│ │ │ ├── alt_fd_unlock.d
│ │ │ ├── alt_fd_unlock.o
│ │ │ ├── alt_find_dev.d
│ │ │ ├── alt_find_dev.o
│ │ │ ├── alt_find_file.d
│ │ │ ├── alt_find_file.o
│ │ │ ├── alt_flash_dev.d
│ │ │ ├── alt_flash_dev.o
│ │ │ ├── alt_fork.d
│ │ │ ├── alt_fork.o
│ │ │ ├── alt_fs_reg.d
│ │ │ ├── alt_fs_reg.o
│ │ │ ├── alt_fstat.d
│ │ │ ├── alt_fstat.o
│ │ │ ├── alt_get_fd.d
│ │ │ ├── alt_get_fd.o
│ │ │ ├── alt_getpid.d
│ │ │ ├── alt_getpid.o
│ │ │ ├── alt_gettod.d
│ │ │ ├── alt_gettod.o
│ │ │ ├── alt_gmon.d
│ │ │ ├── alt_gmon.o
│ │ │ ├── alt_icache_flush_all.d
│ │ │ ├── alt_icache_flush_all.o
│ │ │ ├── alt_icache_flush.d
│ │ │ ├── alt_icache_flush.o
│ │ │ ├── alt_ioctl.d
│ │ │ ├── alt_ioctl.o
│ │ │ ├── alt_io_redirect.d
│ │ │ ├── alt_io_redirect.o
│ │ │ ├── alt_irq_entry.d
│ │ │ ├── alt_irq_entry.o
│ │ │ ├── alt_irq_handler.d
│ │ │ ├── alt_irq_handler.o
│ │ │ ├── alt_irq_register.d
│ │ │ ├── alt_irq_register.o
│ │ │ ├── alt_irq_vars.d
│ │ │ ├── alt_irq_vars.o
│ │ │ ├── alt_isatty.d
│ │ │ ├── alt_isatty.o
│ │ │ ├── alt_kill.d
│ │ │ ├── alt_kill.o
│ │ │ ├── alt_link.d
│ │ │ ├── alt_link.o
│ │ │ ├── alt_load.d
│ │ │ ├── alt_load.o
│ │ │ ├── alt_lseek.d
│ │ │ ├── alt_lseek.o
│ │ │ ├── alt_main.d
│ │ │ ├── alt_main.o
│ │ │ ├── alt_malloc_lock.d
│ │ │ ├── alt_malloc_lock.o
│ │ │ ├── alt_mcount.d
│ │ │ ├── alt_mcount.o
│ │ │ ├── alt_open.d
│ │ │ ├── alt_open.o
│ │ │ ├── alt_read.d
│ │ │ ├── alt_read.o
│ │ │ ├── alt_release_fd.d
│ │ │ ├── alt_release_fd.o
│ │ │ ├── alt_remap_cached.d
│ │ │ ├── alt_remap_cached.o
│ │ │ ├── alt_remap_uncached.d
│ │ │ ├── alt_remap_uncached.o
│ │ │ ├── alt_rename.d
│ │ │ ├── alt_rename.o
│ │ │ ├── alt_sbrk.d
│ │ │ ├── alt_sbrk.o
│ │ │ ├── alt_settod.d
│ │ │ ├── alt_settod.o
│ │ │ ├── alt_software_exception.d
│ │ │ ├── alt_software_exception.o
│ │ │ ├── alt_stat.d
│ │ │ ├── alt_stat.o
│ │ │ ├── alt_sys_init.c-t
│ │ │ ├── alt_sys_init.d
│ │ │ ├── alt_sys_init.o
│ │ │ ├── alt_tick.d
│ │ │ ├── alt_tick.o
│ │ │ ├── alt_times.d
│ │ │ ├── alt_times.o
│ │ │ ├── alt_uncached_free.d
│ │ │ ├── alt_uncached_free.o
│ │ │ ├── alt_uncached_malloc.d
│ │ │ ├── alt_uncached_malloc.o
│ │ │ ├── alt_unlink.d
│ │ │ ├── alt_unlink.o
│ │ │ ├── alt_usleep.d
│ │ │ ├── alt_usleep.o
│ │ │ ├── alt_wait.d
│ │ │ ├── alt_wait.o
│ │ │ ├── alt_write.d
│ │ │ ├── alt_write.o
│ │ │ ├── generated_all.mk-t
│ │ │ ├── generated_app.mk-t
│ │ │ ├── generated.gdb-t
│ │ │ ├── generated.sh-t
│ │ │ ├── generated.x-t
│ │ │ └── system.h-t
│ │ └── system_description
│ │ ├── alt_sys_init.c
│ │ ├── generated_all.mk
│ │ ├── generated_app.mk
│ │ ├── generated.gdb
│ │ ├── generated.sh
│ │ ├── generated.x
│ │ └── system.h
│ ├── readme.txt
│ └── system.stf
├── sopc_builder_debug_log.txt
├── sysid.vhd
├── timer1.vhd
├── uart.vhd
├── vga
│ ├── cb_generator.pl
│ ├── class.ptf
│ └── hdl
│ ├── vga_controller_stream.v
│ ├── vga_pixel_fifo.v
│ └── vga_timing.vhd
├── vga12
│ ├── vga_controller_stream.v
│ ├── vga_pixel_fifo.v
│ └── vga_timing.vhd
├── vga_controller_stream
│ ├── cb_generator.pl
│ ├── class.ptf
│ └── hdl
│ ├── vga_controller_stream.v
│ ├── vga_pixel_fifo.v
│ └── vga_timing.vhd
├── vga_controller_stream1.bdf
├── vga_controller_stream2.bsf
├── vga_controller_stream.v
├── vga_pixel_fifo.bdf
├── vga_pixel_fifo.bsf
├── vga_pixel_fifo.v
├── vga_timing.vhd
├── vga.vhd
└── xvga.vhd
32 directories, 697 files
标签:
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论