实例介绍
这是一个用verilog编写的16位乘法器运算,还有testbench用modelsim实现,绝对好用!
【实例截图】
【核心代码】
4744302543017737783.rar
└── mux16
├── db
│ ├── logic_util_heursitic.dat
│ ├── mux16.(0).cnf.cdb
│ ├── mux16.(0).cnf.hdb
│ ├── mux16.amm.cdb
│ ├── mux16.asm_labs.ddb
│ ├── mux16.asm.qmsg
│ ├── mux16.asm.rdb
│ ├── mux16.cbx.xml
│ ├── mux16.cmp.bpm
│ ├── mux16.cmp.cdb
│ ├── mux16.cmp.hdb
│ ├── mux16.cmp.kpt
│ ├── mux16.cmp.logdb
│ ├── mux16.cmp_merge.kpt
│ ├── mux16.cmp.rdb
│ ├── mux16.cuda_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│ ├── mux16.cuda_io_sim_cache.45um_tt_1200mv_85c_slow.hsd
│ ├── mux16.db_info
│ ├── mux16.eda.qmsg
│ ├── mux16.fit.qmsg
│ ├── mux16.hier_info
│ ├── mux16.hif
│ ├── mux16.idb.cdb
│ ├── mux16.lpc.html
│ ├── mux16.lpc.rdb
│ ├── mux16.lpc.txt
│ ├── mux16.map_bb.cdb
│ ├── mux16.map_bb.hdb
│ ├── mux16.map_bb.logdb
│ ├── mux16.map.bpm
│ ├── mux16.map.cdb
│ ├── mux16.map.hdb
│ ├── mux16.map.kpt
│ ├── mux16.map.logdb
│ ├── mux16.map.qmsg
│ ├── mux16.pre_map.cdb
│ ├── mux16.pre_map.hdb
│ ├── mux16.rtlv.hdb
│ ├── mux16.rtlv_sg.cdb
│ ├── mux16.rtlv_sg_swap.cdb
│ ├── mux16.sgdiff.cdb
│ ├── mux16.sgdiff.hdb
│ ├── mux16.sld_design_entry_dsc.sci
│ ├── mux16.sld_design_entry.sci
│ ├── mux16.smart_action.txt
│ ├── mux16.sta_cmp.6_slow_1200mv_85c.tdb
│ ├── mux16.sta.qmsg
│ ├── mux16.sta.rdb
│ ├── mux16.syn_hier_info
│ ├── mux16.tiscmp.fast_1200mv_0c.ddb
│ ├── mux16.tiscmp.slow_1200mv_0c.ddb
│ ├── mux16.tiscmp.slow_1200mv_85c.ddb
│ ├── mux16.tis_db_list.ddb
│ ├── mux16.tmw_info
│ ├── prev_cmp_mux16.asm.qmsg
│ ├── prev_cmp_mux16.eda.qmsg
│ ├── prev_cmp_mux16.fit.qmsg
│ ├── prev_cmp_mux16.map.qmsg
│ ├── prev_cmp_mux16.qmsg
│ └── prev_cmp_mux16.sta.qmsg
├── incremental_db
│ ├── compiled_partitions
│ │ ├── mux16.db_info
│ │ ├── mux16.root_partition.cmp.atm
│ │ ├── mux16.root_partition.cmp.cdb
│ │ ├── mux16.root_partition.cmp.dfp
│ │ ├── mux16.root_partition.cmp.hdb
│ │ ├── mux16.root_partition.cmp.hdbx
│ │ ├── mux16.root_partition.cmp.kpt
│ │ ├── mux16.root_partition.cmp.logdb
│ │ ├── mux16.root_partition.cmp.rcf
│ │ ├── mux16.root_partition.cmp.rcfdb
│ │ ├── mux16.root_partition.map.atm
│ │ ├── mux16.root_partition.map.cdb
│ │ ├── mux16.root_partition.map.dpi
│ │ ├── mux16.root_partition.map.hbdb.cdb
│ │ ├── mux16.root_partition.map.hbdb.hb_info
│ │ ├── mux16.root_partition.map.hbdb.hdb
│ │ ├── mux16.root_partition.map.hbdb.sig
│ │ ├── mux16.root_partition.map.hdb
│ │ ├── mux16.root_partition.map.hdbx
│ │ └── mux16.root_partition.map.kpt
│ └── README
├── mux16.asm.rpt
├── mux16_assignment_defaults.qdf
├── mux16_copy.v
├── mux16_copy.v.bak
├── mux16.done
├── mux16.eda.rpt
├── mux16.fit.rpt
├── mux16.fit.smsg
├── mux16.fit.summary
├── mux16.flow.rpt
├── mux16.map.rpt
├── mux16.map.summary
├── mux16_nativelink_simulation.rpt
├── mux16.pin
├── mux16.qpf
├── mux16.qsf
├── mux16.qws
├── mux16.sof
├── mux16.sta.rpt
├── mux16.sta.summary
└── simulation
└── modelsim
├── modelsim.ini
├── msim_transcript
├── mux16_6_1200mv_0c_slow.vo
├── mux16_6_1200mv_0c_v_slow.sdo
├── mux16_6_1200mv_85c_slow.vo
├── mux16_6_1200mv_85c_v_slow.sdo
├── mux16_min_1200mv_0c_fast.vo
├── mux16_min_1200mv_0c_v_fast.sdo
├── mux16_modelsim.xrf
├── mux16_run_msim_rtl_verilog.do
├── mux16_run_msim_rtl_verilog.do.bak
├── mux16_run_msim_rtl_verilog.do.bak1
├── mux16_run_msim_rtl_verilog.do.bak10
├── mux16_run_msim_rtl_verilog.do.bak11
├── mux16_run_msim_rtl_verilog.do.bak2
├── mux16_run_msim_rtl_verilog.do.bak3
├── mux16_run_msim_rtl_verilog.do.bak4
├── mux16_run_msim_rtl_verilog.do.bak5
├── mux16_run_msim_rtl_verilog.do.bak6
├── mux16_run_msim_rtl_verilog.do.bak7
├── mux16_run_msim_rtl_verilog.do.bak8
├── mux16_run_msim_rtl_verilog.do.bak9
├── mux16.sft
├── mux16.vo
├── mux16_v.sdo
├── mux16.vt
├── mux16.vt.bak
├── rtl_work
│ ├── _info
│ ├── mux16
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── mux16_vlg_tst
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── @_opt
│ │ ├── _deps
│ │ ├── vopt0vfwq9
│ │ ├── vopt18dz2b
│ │ ├── vopt1xi1n9
│ │ ├── vopt4b5sq9
│ │ ├── vopt5d8ym9
│ │ ├── vopt8qnn3b
│ │ ├── vopt8xxvm9
│ │ ├── voptb7ci3b
│ │ ├── voptbtegr9
│ │ ├── voptcdjqm9
│ │ ├── voptea4cr9
│ │ ├── voptfq1f3b
│ │ ├── voptits9r9
│ │ ├── voptn7z8jf
│ │ ├── voptnaf6r9
│ │ ├── voptst43r9
│ │ ├── vopttqk5jf
│ │ ├── voptxatzq9
│ │ └── voptxqq23b
│ ├── _opt__lock
│ ├── _temp
│ └── _vmake
├── txt_file.txt
├── verilog_libs
│ ├── altera_lnsim_ver
│ │ ├── altera_generic_pll_functions
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altera_lnsim_functions
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altera_mult_add
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altera_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_accumulator_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_adder_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_coef_reg_ext_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_data_split_reg_ext_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_dynamic_signed_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_multiplier_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_preadder_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_register_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_register_with_ext_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_scanchain
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_signed_extension_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ama_systolic_adder_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── common_28nm_mlab_cell
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── common_28nm_mlab_cell_pulse_generator
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── common_28nm_ram_block
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── common_28nm_ram_pulse_generator
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── common_28nm_ram_register
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── generic_cdr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── generic_device_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── generic_m10k
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── generic_m20k
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── generic_mlab_cell
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── generic_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── generic_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── _info
│ │ ├── _temp
│ │ └── _vmake
│ ├── altera_mf_ver
│ │ ├── a_graycounter
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt3pram
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altaccumulate
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_aeq_s4
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_cal
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_cal_c3gxb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_cal_mm
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_cal_sv
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altclklock
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altddio_bidir
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altddio_in
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altddio_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_dfe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altdpram
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altera_std_synchronizer
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altera_std_synchronizer_bundle
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_eyemon
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altfp_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altlvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altlvds_tx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altmult_accum
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altmult_add
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altparallel_flash_loader
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altpll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altserial_flash_loader
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altshift_taps
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altsource_probe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altsqrt
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altsquare
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altstratixii_oct
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── altsyncram
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── arm_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── arm_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── arm_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cda_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cda_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cda_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiiigl_post_divider
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dcfifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dcfifo_async
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dcfifo_dffpipe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dcfifo_fefifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dcfifo_low_latency
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dcfifo_mixed_widths
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dcfifo_sync
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dffp
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dummy_hub
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── flexible_lvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── flexible_lvds_tx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── _info
│ │ ├── jtag_tap_controller
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lcell
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @m@f_cycloneiiigl_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @m@f_cycloneiiigl_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @m@f_cycloneiiigl_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @m@f_cycloneiiigl_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @m@f_cycloneiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @m@f_pll_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @m@f_stratixiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @m@f_stratixii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @m@f_stratix_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── parallel_add
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── pll_iobuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── scfifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── signal_gen
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── sld_signaltap
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── sld_virtual_jtag
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── sld_virtual_jtag_basic
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stratixgx_dpa_lvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stratixiii_lvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stratixiii_lvds_rx_channel
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stratixiii_lvds_rx_dpa
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stratixii_lvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stratixii_tx_outclk
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stratix_lvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stratix_tx_outclk
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stx_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stx_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── stx_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── _temp
│ │ ├── ttn_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ttn_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── ttn_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ └── _vmake
│ ├── altera_ver
│ │ ├── alt_bidir_buf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_bidir_diff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_inbuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_inbuf_diff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_iobuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_iobuf_diff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_outbuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_outbuf_diff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_outbuf_tri
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── alt_outbuf_tri_diff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── carry
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── carry_sum
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cascade
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── clklock
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dffe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dffea
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dffeas
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── dlatch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── exp
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── global
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── _info
│ │ ├── jkff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── jkffe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lut_input
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lut_output
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── opndrn
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── prim_gdff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @p@r@i@m_@g@d@f@f_@h@i@g@h
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @p@r@i@m_@g@d@f@f_@l@o@w
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── prim_gjkff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── prim_gsrff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── prim_gtff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── row_global
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── soft
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── srff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── srffe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── _temp
│ │ ├── tff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── tffe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @t@r@i
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ └── _vmake
│ ├── cycloneiii_ver
│ │ ├── cycloneiii_and1
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_and16
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_apfcontroller
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_b17mux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_b5mux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_bmux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_clkctrl
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_crcblock
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_ddio_oe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_ddio_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_dffe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_ena_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_ff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_io_ibuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_io_obuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_io_pad
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_jtag
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_lcell_comb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_mac_data_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_mac_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_mac_mult_internal
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_mac_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_mac_sign_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_mux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_mux41
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_nmux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_oscillator
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_pll_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @c@y@c@l@o@n@e@i@i@i_@p@r@i@m_@d@f@f@e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @c@y@c@l@o@n@e@i@i@i_@p@r@i@m_@d@f@f@e@a@s
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @c@y@c@l@o@n@e@i@i@i_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_pseudo_diff_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_ram_block
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_ram_pulse_generator
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_ram_register
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_routing_wire
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_rublock
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_termination
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_termination_ctrl
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── cycloneiii_termination_rupdn
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── _info
│ │ ├── _temp
│ │ └── _vmake
│ ├── lpm_ver
│ │ ├── _info
│ │ ├── lpm_abs
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_add_sub
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_and
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_bipad
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_bustri
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_clshift
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_compare
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_constant
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_counter
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_decode
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_divide
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_ff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_fifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_fifo_dc
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_fifo_dc_async
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_fifo_dc_dffpipe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_fifo_dc_fefifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_inpad
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_inv
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_or
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_outpad
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_ram_dp
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_ram_dq
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_ram_io
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_rom
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_shiftreg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── lpm_xor
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── _temp
│ │ └── _vmake
│ └── sgate_ver
│ ├── _info
│ ├── io_buf_opdrn
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── io_buf_tri
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── mux21
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_add
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_addsub
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_bus_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_decoder
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_div
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_latch
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_left_shift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_less_than
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_mod
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_mult
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_right_shift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_rotate_left
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_rotate_right
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── oper_selector
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ ├── _temp
│ ├── tri_bus
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ └── _primary.vhd
│ └── _vmake
└── vsim.wlf
273 directories, 918 files
标签:
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论