在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → DDS信号产生设计实例

DDS信号产生设计实例

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:2.40M
  • 下载次数:8
  • 浏览次数:75
  • 发布时间:2021-04-21
  • 实例类别:一般编程问题
  • 发 布 人:afren
  • 文件格式:.zip
  • 所需积分:2
 相关标签: DDS VHDL EDA

实例介绍

【实例简介】Intel QuartusII EDA软件实现的DDS信号产生设计实例,原理图实现,简单易懂。

【实例截图】

【核心代码】

Class_Course_Training

├── CONST.bsf
├── CONST.cmp
├── CONST.qip
├── CONST.vhd
├── D_FLIP_FLOP.vhd
├── Div_50M.bsf
├── Div_50M.cmp
├── Div_50M.qip
├── Div_50M.vhd
├── MONOSTABLE_TRIGGER_TOP.vhd
├── MONOSTABLE_TRIGGER_TOP.vhd.bak
├── PULSE_WIDTH_COUNTER.vhd
├── PULSE_WIDTH_COUNTER.vhd.bak
├── Phase_Adder.bsf
├── Phase_Adder.cmp
├── Phase_Adder.qip
├── Phase_Adder.vhd
├── SinROM.bsf
├── SinROM.cmp
├── SinROM.qip
├── SinROM.vhd
├── Test_DDS.bdf
├── Test_DDS.qpf
├── Test_DDS.qsf
├── Test_DDS.qws
├── Test_DDS.v
├── Test_DDS_assignment_defaults.qdf
├── Wave_ROM.bsf
├── Wave_ROM.cmp
├── Wave_ROM.qip
├── Wave_ROM.vhd
├── c5_pin_model_dump.txt
├── dds_rom_sin.mif
├── freq_ctrl_word.cmp
├── freq_ctrl_word.qip
├── freq_ctrl_word.vhd
├── hc_output
│   ├── Test_DDS.names_drv_tbl
│   └── lamp_and_dds.names_drv_tbl
├── incremental_db
│   ├── README
│   └── compiled_partitions
│       ├── Test_DDS.autoh_e40e1.cmp.ammdb
│       ├── Test_DDS.autoh_e40e1.cmp.cdb
│       ├── Test_DDS.autoh_e40e1.cmp.dfp
│       ├── Test_DDS.autoh_e40e1.cmp.hdb
│       ├── Test_DDS.autoh_e40e1.cmp.logdb
│       ├── Test_DDS.autoh_e40e1.cmp.rcfdb
│       ├── Test_DDS.autoh_e40e1.map.cdb
│       ├── Test_DDS.autoh_e40e1.map.dpi
│       ├── Test_DDS.autoh_e40e1.map.hdb
│       ├── Test_DDS.autoh_e40e1.map.kpt
│       ├── Test_DDS.autoh_e40e1.map.logdb
│       ├── Test_DDS.autoh_e40e1.map.oln.cdb
│       ├── Test_DDS.autoh_e40e1.rrp.cdb
│       ├── Test_DDS.autoh_e40e1.rrp.hdb
│       ├── Test_DDS.autoh_e40e1.rrp.kpt
│       ├── Test_DDS.autoh_e40e1.rrp.logdb
│       ├── Test_DDS.autos_3e921.cmp.ammdb
│       ├── Test_DDS.autos_3e921.cmp.cdb
│       ├── Test_DDS.autos_3e921.cmp.dfp
│       ├── Test_DDS.autos_3e921.cmp.hdb
│       ├── Test_DDS.autos_3e921.cmp.logdb
│       ├── Test_DDS.autos_3e921.cmp.rcfdb
│       ├── Test_DDS.autos_3e921.map.cdb
│       ├── Test_DDS.autos_3e921.map.dpi
│       ├── Test_DDS.autos_3e921.map.hdb
│       ├── Test_DDS.autos_3e921.map.kpt
│       ├── Test_DDS.autos_3e921.map.logdb
│       ├── Test_DDS.autos_3e921.map.olf.cdb
│       ├── Test_DDS.autos_3e921.map.olm.cdb
│       ├── Test_DDS.autos_3e921.map.oln.cdb
│       ├── Test_DDS.autos_3e921.map.orf.cdb
│       ├── Test_DDS.autos_3e921.map.orm.cdb
│       ├── Test_DDS.autos_3e921.map.orn.cdb
│       ├── Test_DDS.autos_3e921.rrp.cdb
│       ├── Test_DDS.autos_3e921.rrp.hdb
│       ├── Test_DDS.autos_3e921.rrp.kpt
│       ├── Test_DDS.autos_3e921.rrp.logdb
│       ├── Test_DDS.db_info
│       ├── Test_DDS.root_partition.cmp.ammdb
│       ├── Test_DDS.root_partition.cmp.cdb
│       ├── Test_DDS.root_partition.cmp.dfp
│       ├── Test_DDS.root_partition.cmp.hbdb.cdb
│       ├── Test_DDS.root_partition.cmp.hbdb.hdb
│       ├── Test_DDS.root_partition.cmp.hbdb.sig
│       ├── Test_DDS.root_partition.cmp.hdb
│       ├── Test_DDS.root_partition.cmp.logdb
│       ├── Test_DDS.root_partition.cmp.rcfdb
│       ├── Test_DDS.root_partition.map.cdb
│       ├── Test_DDS.root_partition.map.dpi
│       ├── Test_DDS.root_partition.map.hbdb.cdb
│       ├── Test_DDS.root_partition.map.hbdb.hb_info
│       ├── Test_DDS.root_partition.map.hbdb.hdb
│       ├── Test_DDS.root_partition.map.hbdb.sig
│       ├── Test_DDS.root_partition.map.hdb
│       ├── Test_DDS.root_partition.map.kpt
│       ├── Test_DDS.root_partition.map.olf.cdb
│       ├── Test_DDS.root_partition.map.olm.cdb
│       ├── Test_DDS.root_partition.map.oln.cdb
│       ├── Test_DDS.root_partition.map.opi
│       ├── Test_DDS.root_partition.map.orf.cdb
│       ├── Test_DDS.root_partition.map.orm.cdb
│       ├── Test_DDS.root_partition.map.orn.cdb
│       ├── Test_DDS.root_partition.rrp.cdb
│       ├── Test_DDS.root_partition.rrp.hbdb.cdb
│       ├── Test_DDS.root_partition.rrp.hbdb.hdb
│       ├── Test_DDS.root_partition.rrp.hdb
│       ├── Test_DDS.root_partition.rrp.kpt
│       ├── Test_DDS.rrp.hdb
│       ├── Test_DDS.rrs.cdb
│       ├── lamp_and_dds.autoh_e40e1.map.cdb
│       ├── lamp_and_dds.autoh_e40e1.map.dpi
│       ├── lamp_and_dds.autoh_e40e1.map.hdb
│       ├── lamp_and_dds.autoh_e40e1.map.kpt
│       ├── lamp_and_dds.autoh_e40e1.map.logdb
│       ├── lamp_and_dds.autos_3e921.map.cdb
│       ├── lamp_and_dds.autos_3e921.map.dpi
│       ├── lamp_and_dds.autos_3e921.map.hdb
│       ├── lamp_and_dds.autos_3e921.map.kpt
│       ├── lamp_and_dds.autos_3e921.map.logdb
│       ├── lamp_and_dds.db_info
│       ├── lamp_and_dds.root_partition.cmp.ammdb
│       ├── lamp_and_dds.root_partition.cmp.cdb
│       ├── lamp_and_dds.root_partition.cmp.dfp
│       ├── lamp_and_dds.root_partition.cmp.hbdb.cdb
│       ├── lamp_and_dds.root_partition.cmp.hbdb.hdb
│       ├── lamp_and_dds.root_partition.cmp.hbdb.sig
│       ├── lamp_and_dds.root_partition.cmp.hdb
│       ├── lamp_and_dds.root_partition.cmp.logdb
│       ├── lamp_and_dds.root_partition.cmp.rcfdb
│       ├── lamp_and_dds.root_partition.map.cdb
│       ├── lamp_and_dds.root_partition.map.dpi
│       ├── lamp_and_dds.root_partition.map.hbdb.cdb
│       ├── lamp_and_dds.root_partition.map.hbdb.hb_info
│       ├── lamp_and_dds.root_partition.map.hbdb.hdb
│       ├── lamp_and_dds.root_partition.map.hbdb.sig
│       ├── lamp_and_dds.root_partition.map.hdb
│       └── lamp_and_dds.root_partition.map.kpt
├── lamp.bsf
├── lamp.vhd
├── lamp_and_dds.bdf
├── lamp_and_dds.ipinfo
├── lamp_and_dds.pti_db_list.ddb
├── lamp_and_dds.qpf
├── lamp_and_dds.qsf
├── lamp_and_dds.qsf.bak
├── lamp_and_dds.qws
├── lamp_and_dds.tis_db_list.ddb
├── lamp_and_dds_nativelink_simulation.rpt
├── simulation
│   └── modelsim
│       ├── Test_DDS.sft
│       ├── Test_DDS.vho
│       ├── Test_DDS_modelsim.xrf
│       ├── dds_rom_sin.mif
│       ├── lamp_and_dds_run_msim_rtl_vhdl.do
│       ├── lamp_and_dds_run_msim_rtl_vhdl.do.bak
│       ├── lamp_and_dds_run_msim_rtl_vhdl.do.bak1
│       ├── lamp_and_dds_run_msim_rtl_vhdl.do.bak2
│       ├── lamp_and_dds_run_msim_rtl_vhdl.do.bak3
│       ├── lamp_and_dds_run_msim_rtl_vhdl.do.bak4
│       ├── modelsim.ini
│       ├── msim_transcript
│       ├── rtl_work
│       │   ├── _info
│       │   ├── _temp
│       │   ├── _vmake
│       │   ├── d_flip_flop
│       │   │   ├── _primary.dat
│       │   │   ├── _primary.dbs
│       │   │   ├── behavior.dat
│       │   │   ├── behavior.dbs
│       │   │   ├── behavior.prw
│       │   │   └── behavior.psm
│       │   ├── monostable_trigger_top
│       │   │   ├── _primary.dat
│       │   │   ├── _primary.dbs
│       │   │   ├── behavior.dat
│       │   │   ├── behavior.dbs
│       │   │   ├── behavior.prw
│       │   │   └── behavior.psm
│       │   └── pulse_width_counter
│       │       ├── _primary.dat
│       │       ├── _primary.dbs
│       │       ├── one.dat
│       │       ├── one.dbs
│       │       ├── one.prw
│       │       └── one.psm
│       └── vsim.wlf
├── sin.mif
└── stp2.stp
10 directories, 182 files


标签: DDS VHDL EDA

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警