在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → ADS8556芯片FPGA读取采样值

ADS8556芯片FPGA读取采样值

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:8.56M
  • 下载次数:19
  • 浏览次数:222
  • 发布时间:2021-03-16
  • 实例类别:一般编程问题
  • 发 布 人:LXJ001
  • 文件格式:.rar
  • 所需积分:1
 相关标签: FPGA ads GA 芯片 AD

实例介绍

【实例简介】ADS8556芯片FPGA读取采样值

【文件目录】

ADS8556

└── progr
    ├── Freq_divider.v
    ├── dev
    │   ├── ADS8556.qpf
    │   ├── ADS8556.qsf
    │   ├── ADS8556.qws
    │   ├── ADS8556_nativelink_simulation.rpt
    │   ├── ADS_PL.ppf
    │   ├── ADS_PL.qip
    │   ├── ADS_PL.v
    │   ├── ADS_PL_bb.v
    │   ├── PLLJ_PLLSPE_INFO.txt
    │   ├── db
    │   │   ├── ADS8556.(0).cnf.cdb
    │   │   ├── ADS8556.(0).cnf.hdb
    │   │   ├── ADS8556.(1).cnf.cdb
    │   │   ├── ADS8556.(1).cnf.hdb
    │   │   ├── ADS8556.(10).cnf.cdb
    │   │   ├── ADS8556.(10).cnf.hdb
    │   │   ├── ADS8556.(11).cnf.cdb
    │   │   ├── ADS8556.(11).cnf.hdb
    │   │   ├── ADS8556.(12).cnf.cdb
    │   │   ├── ADS8556.(12).cnf.hdb
    │   │   ├── ADS8556.(13).cnf.cdb
    │   │   ├── ADS8556.(13).cnf.hdb
    │   │   ├── ADS8556.(14).cnf.cdb
    │   │   ├── ADS8556.(14).cnf.hdb
    │   │   ├── ADS8556.(15).cnf.cdb
    │   │   ├── ADS8556.(15).cnf.hdb
    │   │   ├── ADS8556.(16).cnf.cdb
    │   │   ├── ADS8556.(16).cnf.hdb
    │   │   ├── ADS8556.(17).cnf.cdb
    │   │   ├── ADS8556.(17).cnf.hdb
    │   │   ├── ADS8556.(18).cnf.cdb
    │   │   ├── ADS8556.(18).cnf.hdb
    │   │   ├── ADS8556.(19).cnf.cdb
    │   │   ├── ADS8556.(19).cnf.hdb
    │   │   ├── ADS8556.(2).cnf.cdb
    │   │   ├── ADS8556.(2).cnf.hdb
    │   │   ├── ADS8556.(20).cnf.cdb
    │   │   ├── ADS8556.(20).cnf.hdb
    │   │   ├── ADS8556.(21).cnf.cdb
    │   │   ├── ADS8556.(21).cnf.hdb
    │   │   ├── ADS8556.(22).cnf.cdb
    │   │   ├── ADS8556.(22).cnf.hdb
    │   │   ├── ADS8556.(23).cnf.cdb
    │   │   ├── ADS8556.(23).cnf.hdb
    │   │   ├── ADS8556.(24).cnf.cdb
    │   │   ├── ADS8556.(24).cnf.hdb
    │   │   ├── ADS8556.(25).cnf.cdb
    │   │   ├── ADS8556.(25).cnf.hdb
    │   │   ├── ADS8556.(26).cnf.cdb
    │   │   ├── ADS8556.(26).cnf.hdb
    │   │   ├── ADS8556.(27).cnf.cdb
    │   │   ├── ADS8556.(27).cnf.hdb
    │   │   ├── ADS8556.(28).cnf.cdb
    │   │   ├── ADS8556.(28).cnf.hdb
    │   │   ├── ADS8556.(29).cnf.cdb
    │   │   ├── ADS8556.(29).cnf.hdb
    │   │   ├── ADS8556.(3).cnf.cdb
    │   │   ├── ADS8556.(3).cnf.hdb
    │   │   ├── ADS8556.(30).cnf.cdb
    │   │   ├── ADS8556.(30).cnf.hdb
    │   │   ├── ADS8556.(31).cnf.cdb
    │   │   ├── ADS8556.(31).cnf.hdb
    │   │   ├── ADS8556.(32).cnf.cdb
    │   │   ├── ADS8556.(32).cnf.hdb
    │   │   ├── ADS8556.(33).cnf.cdb
    │   │   ├── ADS8556.(33).cnf.hdb
    │   │   ├── ADS8556.(34).cnf.cdb
    │   │   ├── ADS8556.(34).cnf.hdb
    │   │   ├── ADS8556.(35).cnf.cdb
    │   │   ├── ADS8556.(35).cnf.hdb
    │   │   ├── ADS8556.(36).cnf.cdb
    │   │   ├── ADS8556.(36).cnf.hdb
    │   │   ├── ADS8556.(37).cnf.cdb
    │   │   ├── ADS8556.(37).cnf.hdb
    │   │   ├── ADS8556.(38).cnf.cdb
    │   │   ├── ADS8556.(38).cnf.hdb
    │   │   ├── ADS8556.(39).cnf.cdb
    │   │   ├── ADS8556.(39).cnf.hdb
    │   │   ├── ADS8556.(4).cnf.cdb
    │   │   ├── ADS8556.(4).cnf.hdb
    │   │   ├── ADS8556.(40).cnf.cdb
    │   │   ├── ADS8556.(40).cnf.hdb
    │   │   ├── ADS8556.(41).cnf.cdb
    │   │   ├── ADS8556.(41).cnf.hdb
    │   │   ├── ADS8556.(42).cnf.cdb
    │   │   ├── ADS8556.(42).cnf.hdb
    │   │   ├── ADS8556.(43).cnf.cdb
    │   │   ├── ADS8556.(43).cnf.hdb
    │   │   ├── ADS8556.(44).cnf.cdb
    │   │   ├── ADS8556.(44).cnf.hdb
    │   │   ├── ADS8556.(45).cnf.cdb
    │   │   ├── ADS8556.(45).cnf.hdb
    │   │   ├── ADS8556.(46).cnf.cdb
    │   │   ├── ADS8556.(46).cnf.hdb
    │   │   ├── ADS8556.(47).cnf.cdb
    │   │   ├── ADS8556.(47).cnf.hdb
    │   │   ├── ADS8556.(48).cnf.cdb
    │   │   ├── ADS8556.(48).cnf.hdb
    │   │   ├── ADS8556.(49).cnf.cdb
    │   │   ├── ADS8556.(49).cnf.hdb
    │   │   ├── ADS8556.(5).cnf.cdb
    │   │   ├── ADS8556.(5).cnf.hdb
    │   │   ├── ADS8556.(50).cnf.cdb
    │   │   ├── ADS8556.(50).cnf.hdb
    │   │   ├── ADS8556.(51).cnf.cdb
    │   │   ├── ADS8556.(51).cnf.hdb
    │   │   ├── ADS8556.(52).cnf.cdb
    │   │   ├── ADS8556.(52).cnf.hdb
    │   │   ├── ADS8556.(53).cnf.cdb
    │   │   ├── ADS8556.(53).cnf.hdb
    │   │   ├── ADS8556.(54).cnf.cdb
    │   │   ├── ADS8556.(54).cnf.hdb
    │   │   ├── ADS8556.(55).cnf.cdb
    │   │   ├── ADS8556.(55).cnf.hdb
    │   │   ├── ADS8556.(56).cnf.cdb
    │   │   ├── ADS8556.(56).cnf.hdb
    │   │   ├── ADS8556.(57).cnf.cdb
    │   │   ├── ADS8556.(57).cnf.hdb
    │   │   ├── ADS8556.(58).cnf.cdb
    │   │   ├── ADS8556.(58).cnf.hdb
    │   │   ├── ADS8556.(59).cnf.cdb
    │   │   ├── ADS8556.(59).cnf.hdb
    │   │   ├── ADS8556.(6).cnf.cdb
    │   │   ├── ADS8556.(6).cnf.hdb
    │   │   ├── ADS8556.(7).cnf.cdb
    │   │   ├── ADS8556.(7).cnf.hdb
    │   │   ├── ADS8556.(8).cnf.cdb
    │   │   ├── ADS8556.(8).cnf.hdb
    │   │   ├── ADS8556.(9).cnf.cdb
    │   │   ├── ADS8556.(9).cnf.hdb
    │   │   ├── ADS8556.asm.qmsg
    │   │   ├── ADS8556.asm.rdb
    │   │   ├── ADS8556.asm_labs.ddb
    │   │   ├── ADS8556.autoh_e40e1.map.reg_db.cdb
    │   │   ├── ADS8556.autos_3e921.map.reg_db.cdb
    │   │   ├── ADS8556.cmp.bpm
    │   │   ├── ADS8556.cmp.cdb
    │   │   ├── ADS8556.cmp.hdb
    │   │   ├── ADS8556.cmp.idb
    │   │   ├── ADS8556.cmp.logdb
    │   │   ├── ADS8556.cmp.rdb
    │   │   ├── ADS8556.cmp_merge.kpt
    │   │   ├── ADS8556.cycloneive_io_sim_cache.45um_ff_1200mv_n40c_fast.hsd
    │   │   ├── ADS8556.cycloneive_io_sim_cache.45um_ii_1200mv_100c_slow.hsd
    │   │   ├── ADS8556.cycloneive_io_sim_cache.45um_ii_1200mv_85c_slow.hsd
    │   │   ├── ADS8556.cycloneive_io_sim_cache.45um_ii_1200mv_n40c_slow.hsd
    │   │   ├── ADS8556.db_info
    │   │   ├── ADS8556.eda.qmsg
    │   │   ├── ADS8556.fit.qmsg
    │   │   ├── ADS8556.hier_info
    │   │   ├── ADS8556.hif
    │   │   ├── ADS8556.logic_util_heuristic.dat
    │   │   ├── ADS8556.lpc.html
    │   │   ├── ADS8556.lpc.rdb
    │   │   ├── ADS8556.lpc.txt
    │   │   ├── ADS8556.map.ammdb
    │   │   ├── ADS8556.map.bpm
    │   │   ├── ADS8556.map.cdb
    │   │   ├── ADS8556.map.hdb
    │   │   ├── ADS8556.map.kpt
    │   │   ├── ADS8556.map.logdb
    │   │   ├── ADS8556.map.qmsg
    │   │   ├── ADS8556.map.rdb
    │   │   ├── ADS8556.map_bb.cdb
    │   │   ├── ADS8556.map_bb.hdb
    │   │   ├── ADS8556.map_bb.logdb
    │   │   ├── ADS8556.pplq.rdb
    │   │   ├── ADS8556.pre_map.hdb
    │   │   ├── ADS8556.pti_db_list.ddb
    │   │   ├── ADS8556.root_partition.map.reg_db.cdb
    │   │   ├── ADS8556.routing.rdb
    │   │   ├── ADS8556.rtlv.hdb
    │   │   ├── ADS8556.rtlv_sg.cdb
    │   │   ├── ADS8556.rtlv_sg_swap.cdb
    │   │   ├── ADS8556.sld_design_entry.sci
    │   │   ├── ADS8556.sld_design_entry_dsc.sci
    │   │   ├── ADS8556.smart_action.txt
    │   │   ├── ADS8556.sta.qmsg
    │   │   ├── ADS8556.sta.rdb
    │   │   ├── ADS8556.sta_cmp.7_slow_1200mv_100c.tdb
    │   │   ├── ADS8556.tis_db_list.ddb
    │   │   ├── ADS8556.tiscmp.fast_1200mv_n40c.ddb
    │   │   ├── ADS8556.tiscmp.fastest_slow_1200mv_85c.ddb
    │   │   ├── ADS8556.tiscmp.fastest_slow_1200mv_n40c.ddb
    │   │   ├── ADS8556.tiscmp.slow_1200mv_100c.ddb
    │   │   ├── ADS8556.tiscmp.slow_1200mv_n40c.ddb
    │   │   ├── ADS8556.vpr.ammdb
    │   │   ├── ADS_PL_altpll.v
    │   │   ├── altsyncram_0124.tdf
    │   │   ├── altsyncram_2124.tdf
    │   │   ├── altsyncram_c124.tdf
    │   │   ├── altsyncram_d124.tdf
    │   │   ├── altsyncram_e124.tdf
    │   │   ├── altsyncram_f124.tdf
    │   │   ├── altsyncram_g124.tdf
    │   │   ├── cmpr_ngc.tdf
    │   │   ├── cmpr_rgc.tdf
    │   │   ├── cmpr_sgc.tdf
    │   │   ├── cntr_23j.tdf
    │   │   ├── cntr_dgi.tdf
    │   │   ├── cntr_egi.tdf
    │   │   ├── cntr_g9j.tdf
    │   │   ├── cntr_ggi.tdf
    │   │   ├── cntr_igi.tdf
    │   │   ├── cntr_jgi.tdf
    │   │   ├── cntr_kgi.tdf
    │   │   ├── cntr_lgi.tdf
    │   │   ├── cntr_m9j.tdf
    │   │   ├── cntr_o9j.tdf
    │   │   ├── decode_dvf.tdf
    │   │   ├── ip
    │   │   │   └── sld963ebe89
    │   │   │       ├── alt_sld_fab.qip
    │   │   │       ├── alt_sld_fab.sopcinfo
    │   │   │       ├── alt_sld_fab.v
    │   │   │       ├── alt_sld_fab__report.html
    │   │   │       ├── alt_sld_fab__report.xml
    │   │   │       ├── alt_sld_fab_wrapper_hw.tcl
    │   │   │       └── submodules
    │   │   │           ├── alt_sld_fab_alt_sld_fab.v
    │   │   │           ├── alt_sld_fab_alt_sld_fab_ident.sv
    │   │   │           ├── alt_sld_fab_alt_sld_fab_presplit.sv
    │   │   │           ├── alt_sld_fab_alt_sld_fab_sldfabric.vhd
    │   │   │           └── alt_sld_fab_alt_sld_fab_splitter.sv
    │   │   ├── mux_rsc.tdf
    │   │   ├── mux_tsc.tdf
    │   │   ├── mux_vsc.tdf
    │   │   ├── pii_120m_altpll.v
    │   │   ├── prev_cmp_ADS8556.qmsg
    │   │   └── stp2_auto_stripped.stp
    │   ├── greybox_tmp
    │   │   └── cbx_args.txt
    │   ├── incremental_db
    │   │   ├── README
    │   │   └── compiled_partitions
    │   │       ├── ADS8556.autoh_e40e1.cmp.ammdb
    │   │       ├── ADS8556.autoh_e40e1.cmp.cdb
    │   │       ├── ADS8556.autoh_e40e1.cmp.dfp
    │   │       ├── ADS8556.autoh_e40e1.cmp.hdb
    │   │       ├── ADS8556.autoh_e40e1.cmp.logdb
    │   │       ├── ADS8556.autoh_e40e1.cmp.rcfdb
    │   │       ├── ADS8556.autoh_e40e1.map.cdb
    │   │       ├── ADS8556.autoh_e40e1.map.dpi
    │   │       ├── ADS8556.autoh_e40e1.map.hdb
    │   │       ├── ADS8556.autoh_e40e1.map.kpt
    │   │       ├── ADS8556.autoh_e40e1.map.logdb
    │   │       ├── ADS8556.autos_3e921.cmp.ammdb
    │   │       ├── ADS8556.autos_3e921.cmp.cdb
    │   │       ├── ADS8556.autos_3e921.cmp.dfp
    │   │       ├── ADS8556.autos_3e921.cmp.hdb
    │   │       ├── ADS8556.autos_3e921.cmp.logdb
    │   │       ├── ADS8556.autos_3e921.cmp.rcfdb
    │   │       ├── ADS8556.autos_3e921.map.cdb
    │   │       ├── ADS8556.autos_3e921.map.dpi
    │   │       ├── ADS8556.autos_3e921.map.hdb
    │   │       ├── ADS8556.autos_3e921.map.kpt
    │   │       ├── ADS8556.autos_3e921.map.logdb
    │   │       ├── ADS8556.db_info
    │   │       ├── ADS8556.root_partition.cmp.ammdb
    │   │       ├── ADS8556.root_partition.cmp.cdb
    │   │       ├── ADS8556.root_partition.cmp.dfp
    │   │       ├── ADS8556.root_partition.cmp.hdb
    │   │       ├── ADS8556.root_partition.cmp.logdb
    │   │       ├── ADS8556.root_partition.cmp.rcfdb
    │   │       ├── ADS8556.root_partition.map.cdb
    │   │       ├── ADS8556.root_partition.map.dpi
    │   │       ├── ADS8556.root_partition.map.hbdb.cdb
    │   │       ├── ADS8556.root_partition.map.hbdb.hb_info
    │   │       ├── ADS8556.root_partition.map.hbdb.hdb
    │   │       ├── ADS8556.root_partition.map.hbdb.sig
    │   │       ├── ADS8556.root_partition.map.hdb
    │   │       ├── ADS8556.root_partition.map.kpt
    │   │       └── ADS8556.rrp.hdb
    │   ├── output_files
    │   │   ├── ADS8556.asm.rpt
    │   │   ├── ADS8556.done
    │   │   ├── ADS8556.eda.rpt
    │   │   ├── ADS8556.fit.rpt
    │   │   ├── ADS8556.fit.smsg
    │   │   ├── ADS8556.fit.summary
    │   │   ├── ADS8556.flow.rpt
    │   │   ├── ADS8556.jdi
    │   │   ├── ADS8556.map.rpt
    │   │   ├── ADS8556.map.summary
    │   │   ├── ADS8556.pin
    │   │   ├── ADS8556.sld
    │   │   ├── ADS8556.sof
    │   │   ├── ADS8556.sta.rpt
    │   │   └── ADS8556.sta.summary
    │   ├── pii_120m.ppf
    │   ├── pii_120m.qip
    │   ├── pii_120m.v
    │   ├── pii_120m_bb.v
    │   ├── simulation
    │   │   └── modelsim
    │   │       ├── ADS8556.sft
    │   │       ├── ADS8556.vo
    │   │       ├── ADS8556.vt
    │   │       ├── ADS8556.vt.bak
    │   │       ├── ADS8556_7_1200mv_-40c_slow.vo
    │   │       ├── ADS8556_7_1200mv_-40c_v_slow.sdo
    │   │       ├── ADS8556_7_1200mv_100c_slow.vo
    │   │       ├── ADS8556_7_1200mv_100c_v_slow.sdo
    │   │       ├── ADS8556_7_1200mv_100c_v_slow.sdo_typ.csd
    │   │       ├── ADS8556_min_1200mv_-40c_fast.vo
    │   │       ├── ADS8556_min_1200mv_-40c_v_fast.sdo
    │   │       ├── ADS8556_modelsim.xrf
    │   │       ├── ADS8556_run_msim_gate_verilog.do
    │   │       ├── ADS8556_run_msim_rtl_verilog.do
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak1
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak10
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak11
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak2
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak3
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak4
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak5
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak6
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak7
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak8
    │   │       ├── ADS8556_run_msim_rtl_verilog.do.bak9
    │   │       ├── ADS8556_v.sdo
    │   │       ├── _sdfmsgdata
    │   │       ├── gate_work
    │   │       │   ├── _info
    │   │       │   ├── _lib.qdb
    │   │       │   ├── _lib1_0.qdb
    │   │       │   ├── _lib1_0.qpg
    │   │       │   ├── _lib1_0.qtl
    │   │       │   └── _vmake
    │   │       ├── modelsim.ini
    │   │       ├── msim_transcript
    │   │       ├── rtl_work
    │   │       │   ├── _info
    │   │       │   ├── _lib.qdb
    │   │       │   ├── _lib1_0.qdb
    │   │       │   ├── _lib1_0.qpg
    │   │       │   ├── _lib1_0.qtl
    │   │       │   └── _vmake
    │   │       └── vsim.wlf
    │   └── stp2.stp
    ├── devread_ads8556.qip
    ├── devread_ads8556.v
    ├── devread_ads8556_bb.v
    ├── output
    ├── sim
    ├── src
    │   ├── ADS_PL.v
    │   ├── ADS_PL.v.bak
    │   ├── Read_ADS8556.v
    │   └── Read_ADS8556.v.bak
    ├── uart.v
    ├── uart_receiver.v
    └── uart_sender.v

17 directories, 340 files


【核心代码】

reg [15:0] ad_in0 /*synthesis noprune*/;
reg [15:0] ad_in1 /*synthesis noprune*/;
reg [15:0] ad_in2 /*synthesis noprune*/;
reg [15:0] ad_in3 /*synthesis noprune*/;
reg [15:0] ad_in4 /*synthesis noprune*/;
reg [15:0] ad_in5 /*synthesis noprune*/;


reg [9:0] ad_rst_delay /*synthesis noprune*/;
reg [9:0]  ad_clk_cnt /*synthesis noprune*/;
reg [3:0] ad_rst_en_reg ;
reg [95:0]  ad_data_temp ;


//接收外部AD复位信号
always@(posedge clk_33m )
if(!reset)
ad_rst_en_reg <= 4'b0000 ;
else
ad_rst_en_reg <= {ad_rst_en_reg[2:0] ,ad_rst_en} ;

always@(posedge clk_33m )
if(!reset) 
ad_rst_delay <= 10'd0 ;
else if(ad_rst_en_reg == 0011)
ad_rst_delay <= 10'd0 ;
else if(ad_rst_delay < 10'd999)
ad_rst_delay <= ad_rst_delay 1'b1 ;
else
ad_rst_delay <= ad_rst_delay ;

always@(posedge clk_33m )
if(!reset) 
ad_rst <= 1'b1 ;
else if(ad_rst_en_reg == 0011)
ad_rst <= 1'b1 ;
else if(ad_rst_delay == 10'd999)
ad_rst <= 1'b0 ;
else
ad_rst <= ad_rst ;

//计数采样
always@(posedge clk_33m )
if(!reset)
ad_clk_cnt <= 10'd0 ;
else if((!ad_rst)&&(ad_clk_cnt < sample_cnt))
ad_clk_cnt <= ad_clk_cnt 1'b1 ;
else
ad_clk_cnt <= 10'd0 ;

assign ad_cs = ((ad_clk_cnt>10'd41)&&(ad_clk_cnt<10'd54))?1'b0:1'b1 ;
assign ad_rd = ((ad_clk_cnt>10'd41)&&(ad_clk_cnt<10'd54))?ad_clk_cnt[0]:1'b1 ;
assign ad_cnvst = ((!ad_rst)&&(ad_clk_cnt>10'd54))?1'b0:1'b1 ;

标签: FPGA ads GA 芯片 AD

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警