在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 图像中值滤波FPGA和MATLAB的实现

图像中值滤波FPGA和MATLAB的实现

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:26.42M
  • 下载次数:9
  • 浏览次数:166
  • 发布时间:2021-03-04
  • 实例类别:一般编程问题
  • 发 布 人:好学IT男
  • 文件格式:.rar
  • 所需积分:2
 

实例介绍

【实例简介】
里面包含了图像中值滤波的matlab处理方法,数值图像处理中值滤波FPGA的实现以及中值滤波实现的详细介绍文档
【实例截图】
【核心代码】
fa00c050-f179-4f2e-b143-a5a67fd2e0e8
└── bigwork
├── article
│   ├── C语言实用数字图像处理.pdf
│   ├── FPGA实验报告-李炎东.doc
│   ├── 中值滤波在红外成像引信中的应用及硬件实现.caj
│   ├── 图像中值滤波硬件算法及其在FPGA中的实现.pdf
│   ├── 图像灰度增强算法的研究.pdf
│   ├── 图象中值滤波的硬件实现.caj
│   ├── 基于FPGA的一种智能交通红绿灯设计.pdf
│   ├── 基于FPGA的实时中值滤波器硬件实现.caj
│   └── 实时中值滤波器的实现.caj
├── hardware
│   ├── medfilt
│   │   ├── coregen_xil_5976_46.cgc
│   │   ├── coregen_xil_5976_46.cgp
│   │   ├── data_out.txt
│   │   ├── data_out.txt.bak
│   │   ├── fifo1_isim_beh1.wdb
│   │   ├── fifo1_isim_beh.exe
│   │   ├── fifo1_stx_beh.prj
│   │   ├── fifo1.v
│   │   ├── fifo2_text_beh.prj
│   │   ├── fifo2_text_isim_beh.exe
│   │   ├── fifo2_text_stx_beh.prj
│   │   ├── fifo2_text.v
│   │   ├── fifo_test.fdo
│   │   ├── fifo_test_isim_beh1.wdb
│   │   ├── fifo_test_isim_beh.exe
│   │   ├── fifo_test_stx_beh.prj
│   │   ├── fifo_test.udo
│   │   ├── fifo_test.v
│   │   ├── fifo_text.bmm
│   │   ├── fifo_text_isim_beh1.wdb
│   │   ├── fifo_text_isim_beh2.wdb
│   │   ├── fifo_text_isim_beh.exe
│   │   ├── fifo_text_stx_beh.prj
│   │   ├── fifo_text.v
│   │   ├── fuse.log
│   │   ├── image_rom.mif
│   │   ├── ipcore_dir
│   │   │   ├── _bbx
│   │   │   │   ├── blk_mem_gen_v4_3
│   │   │   │   │   ├── blk_mem_gen_bindec.vhd
│   │   │   │   │   ├── blk_mem_gen_ecc_decoder.vhd
│   │   │   │   │   ├── blk_mem_gen_ecc_encoder.vhd
│   │   │   │   │   ├── blk_mem_gen_generic_cstr.vhd
│   │   │   │   │   ├── blk_mem_gen_getinit_pkg.vhd
│   │   │   │   │   ├── blk_mem_gen_mux.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_width.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3adsp_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3adsp.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3a_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3a.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s6_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s6.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v4_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v4.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v5_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v5.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v6_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v6.vhd
│   │   │   │   │   ├── blk_mem_gen_top.vhd
│   │   │   │   │   ├── blk_mem_gen_v4_3_defaults.vhd
│   │   │   │   │   ├── blk_mem_gen_v4_3_pkg.vhd
│   │   │   │   │   ├── blk_mem_gen_v4_3_xst_comp.vhd
│   │   │   │   │   ├── blk_mem_gen_v4_3_xst.vhd
│   │   │   │   │   ├── blk_mem_input_block.vhd
│   │   │   │   │   ├── blk_mem_min_area_pkg.vhd
│   │   │   │   │   └── blk_mem_output_block.vhd
│   │   │   │   ├── fifo_fifo_generator_v7_2_xst_1.prj
│   │   │   │   ├── fifo_fifo_generator_v7_2_xst_1.scr
│   │   │   │   ├── fifo_fifo_generator_v7_2_xst_1.vhd
│   │   │   │   ├── fifo_fifo_generator_v7_2_xst_1_xst.log
│   │   │   │   ├── fifo_generator_v7_2
│   │   │   │   │   ├── builtin
│   │   │   │   │   │   ├── bin_cntr.vhd
│   │   │   │   │   │   ├── builtin_extdepth_v6.vhd
│   │   │   │   │   │   ├── builtin_extdepth.vhd
│   │   │   │   │   │   ├── builtin_prim_v6.vhd
│   │   │   │   │   │   ├── builtin_prim.vhd
│   │   │   │   │   │   ├── builtin_top_v6.vhd
│   │   │   │   │   │   ├── builtin_top.vhd
│   │   │   │   │   │   ├── clk_x_pntrs_builtin.vhd
│   │   │   │   │   │   ├── delay.vhd
│   │   │   │   │   │   ├── fifo_generator_v7_2_builtin.vhd
│   │   │   │   │   │   ├── fifo_generator_v7_2_comps_builtin.vhd
│   │   │   │   │   │   ├── logic_builtin.vhd
│   │   │   │   │   │   └── reset_builtin.vhd
│   │   │   │   │   ├── common
│   │   │   │   │   │   ├── input_blk.vhd
│   │   │   │   │   │   ├── output_blk.vhd
│   │   │   │   │   │   ├── rd_pe_as.vhd
│   │   │   │   │   │   ├── rd_pe_ss.vhd
│   │   │   │   │   │   ├── shft_ram.vhd
│   │   │   │   │   │   ├── shft_wrapper.vhd
│   │   │   │   │   │   ├── wr_pf_as.vhd
│   │   │   │   │   │   └── wr_pf_ss.vhd
│   │   │   │   │   ├── fifo16_patch
│   │   │   │   │   │   ├── fifo16_patch_top.vhd
│   │   │   │   │   │   ├── fifo_generator_v7_2_fifo16_patch.vhd
│   │   │   │   │   │   ├── input_block_fifo16_patch.vhd
│   │   │   │   │   │   ├── output_block_fifo16_patch.vhd
│   │   │   │   │   │   ├── rgtw.vhd
│   │   │   │   │   │   └── wgtr.vhd
│   │   │   │   │   ├── fifo_generator_top.vhd
│   │   │   │   │   ├── fifo_generator_v7_2_defaults.vhd
│   │   │   │   │   ├── fifo_generator_v7_2_pkg.vhd
│   │   │   │   │   ├── fifo_generator_v7_2_xst_comp.vhd
│   │   │   │   │   ├── fifo_generator_v7_2_xst.vhd
│   │   │   │   │   └── ramfifo
│   │   │   │   │   ├── clk_x_pntrs.vhd
│   │   │   │   │   ├── compare.vhd
│   │   │   │   │   ├── dc_ss_fwft.vhd
│   │   │   │   │   ├── dc_ss.vhd
│   │   │   │   │   ├── dmem.vhd
│   │   │   │   │   ├── fifo_generator_ramfifo.vhd
│   │   │   │   │   ├── logic_sshft.vhd
│   │   │   │   │   ├── memory.vhd
│   │   │   │   │   ├── rd_bin_cntr.vhd
│   │   │   │   │   ├── rd_dc_as.vhd
│   │   │   │   │   ├── rd_dc_fwft_ext_as.vhd
│   │   │   │   │   ├── rd_fwft.vhd
│   │   │   │   │   ├── rd_handshaking_flags.vhd
│   │   │   │   │   ├── rd_logic_pkt_fifo.vhd
│   │   │   │   │   ├── rd_logic.vhd
│   │   │   │   │   ├── rd_pe_sshft.vhd
│   │   │   │   │   ├── rd_status_flags_as.vhd
│   │   │   │   │   ├── rd_status_flags_sshft.vhd
│   │   │   │   │   ├── rd_status_flags_ss.vhd
│   │   │   │   │   ├── reset_blk_ramfifo.vhd
│   │   │   │   │   ├── updn_cntr.vhd
│   │   │   │   │   ├── wr_bin_cntr.vhd
│   │   │   │   │   ├── wr_dc_as.vhd
│   │   │   │   │   ├── wr_dc_fwft_ext_as.vhd
│   │   │   │   │   ├── wr_handshaking_flags.vhd
│   │   │   │   │   ├── wr_logic_pkt_fifo.vhd
│   │   │   │   │   ├── wr_logic.vhd
│   │   │   │   │   ├── wr_pf_sshft.vhd
│   │   │   │   │   ├── wr_status_flags_as.vhd
│   │   │   │   │   ├── wr_status_flags_sshft.vhd
│   │   │   │   │   └── wr_status_flags_ss.vhd
│   │   │   │   └── _xlp
│   │   │   │   ├── blk_mem_gen_v4_3
│   │   │   │   │   ├── blk_mem_gen_bindec.vhd
│   │   │   │   │   ├── blk_mem_gen_ecc_decoder.vhd
│   │   │   │   │   ├── blk_mem_gen_ecc_encoder.vhd
│   │   │   │   │   ├── blk_mem_gen_generic_cstr.vhd
│   │   │   │   │   ├── blk_mem_gen_getinit_pkg.vhd
│   │   │   │   │   ├── blk_mem_gen_mux.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_width.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3adsp_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3adsp.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3a_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3a.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s3.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s6_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_s6.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v4_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v4.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v5_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v5.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v6_init.vhd
│   │   │   │   │   ├── blk_mem_gen_prim_wrapper_v6.vhd
│   │   │   │   │   ├── blk_mem_gen_top.vhd
│   │   │   │   │   ├── blk_mem_gen_v4_3_defaults.vhd
│   │   │   │   │   ├── blk_mem_gen_v4_3_pkg.vhd
│   │   │   │   │   ├── blk_mem_gen_v4_3_xst_comp.vhd
│   │   │   │   │   ├── blk_mem_gen_v4_3_xst.vhd
│   │   │   │   │   ├── blk_mem_input_block.vhd
│   │   │   │   │   ├── blk_mem_min_area_pkg.vhd
│   │   │   │   │   └── blk_mem_output_block.vhd
│   │   │   │   └── fifo_generator_v7_2
│   │   │   │   ├── builtin
│   │   │   │   │   ├── bin_cntr.vhd
│   │   │   │   │   ├── builtin_extdepth_v6.vhd
│   │   │   │   │   ├── builtin_extdepth.vhd
│   │   │   │   │   ├── builtin_prim_v6.vhd
│   │   │   │   │   ├── builtin_prim.vhd
│   │   │   │   │   ├── builtin_top_v6.vhd
│   │   │   │   │   ├── builtin_top.vhd
│   │   │   │   │   ├── clk_x_pntrs_builtin.vhd
│   │   │   │   │   ├── delay.vhd
│   │   │   │   │   ├── fifo_generator_v7_2_builtin.vhd
│   │   │   │   │   ├── fifo_generator_v7_2_comps_builtin.vhd
│   │   │   │   │   ├── logic_builtin.vhd
│   │   │   │   │   └── reset_builtin.vhd
│   │   │   │   ├── common
│   │   │   │   │   ├── input_blk.vhd
│   │   │   │   │   ├── output_blk.vhd
│   │   │   │   │   ├── rd_pe_as.vhd
│   │   │   │   │   ├── rd_pe_ss.vhd
│   │   │   │   │   ├── shft_ram.vhd
│   │   │   │   │   ├── shft_wrapper.vhd
│   │   │   │   │   ├── wr_pf_as.vhd
│   │   │   │   │   └── wr_pf_ss.vhd
│   │   │   │   ├── fifo16_patch
│   │   │   │   │   ├── fifo16_patch_top.vhd
│   │   │   │   │   ├── fifo_generator_v7_2_fifo16_patch.vhd
│   │   │   │   │   ├── input_block_fifo16_patch.vhd
│   │   │   │   │   ├── output_block_fifo16_patch.vhd
│   │   │   │   │   ├── rgtw.vhd
│   │   │   │   │   └── wgtr.vhd
│   │   │   │   ├── fifo_generator_top.vhd
│   │   │   │   ├── fifo_generator_v7_2_defaults.vhd
│   │   │   │   ├── fifo_generator_v7_2_pkg.vhd
│   │   │   │   ├── fifo_generator_v7_2_xst_comp.vhd
│   │   │   │   ├── fifo_generator_v7_2_xst.vhd
│   │   │   │   └── ramfifo
│   │   │   │   ├── clk_x_pntrs.vhd
│   │   │   │   ├── compare.vhd
│   │   │   │   ├── dc_ss_fwft.vhd
│   │   │   │   ├── dc_ss.vhd
│   │   │   │   ├── dmem.vhd
│   │   │   │   ├── fifo_generator_ramfifo.vhd
│   │   │   │   ├── logic_sshft.vhd
│   │   │   │   ├── memory.vhd
│   │   │   │   ├── rd_bin_cntr.vhd
│   │   │   │   ├── rd_dc_as.vhd
│   │   │   │   ├── rd_dc_fwft_ext_as.vhd
│   │   │   │   ├── rd_fwft.vhd
│   │   │   │   ├── rd_handshaking_flags.vhd
│   │   │   │   ├── rd_logic_pkt_fifo.vhd
│   │   │   │   ├── rd_logic.vhd
│   │   │   │   ├── rd_pe_sshft.vhd
│   │   │   │   ├── rd_status_flags_as.vhd
│   │   │   │   ├── rd_status_flags_sshft.vhd
│   │   │   │   ├── rd_status_flags_ss.vhd
│   │   │   │   ├── reset_blk_ramfifo.vhd
│   │   │   │   ├── updn_cntr.vhd
│   │   │   │   ├── wr_bin_cntr.vhd
│   │   │   │   ├── wr_dc_as.vhd
│   │   │   │   ├── wr_dc_fwft_ext_as.vhd
│   │   │   │   ├── wr_handshaking_flags.vhd
│   │   │   │   ├── wr_logic_pkt_fifo.vhd
│   │   │   │   ├── wr_logic.vhd
│   │   │   │   ├── wr_pf_sshft.vhd
│   │   │   │   ├── wr_status_flags_as.vhd
│   │   │   │   ├── wr_status_flags_sshft.vhd
│   │   │   │   └── wr_status_flags_ss.vhd
│   │   │   ├── blk_mem_gen_ds512.pdf
│   │   │   ├── blk_mem_gen_readme.txt
│   │   │   ├── coregen.cgc
│   │   │   ├── coregen.cgp
│   │   │   ├── coregen.log
│   │   │   ├── coregen.rsp
│   │   │   ├── fifo1.asy
│   │   │   ├── fifo1_flist.txt
│   │   │   ├── fifo1.gise
│   │   │   ├── fifo1.ncf
│   │   │   ├── fifo1.ngc
│   │   │   ├── fifo1.sym
│   │   │   ├── fifo1.v
│   │   │   ├── fifo1.veo
│   │   │   ├── fifo1.vhd
│   │   │   ├── fifo1.vho
│   │   │   ├── fifo1.xco
│   │   │   ├── fifo1.xco.bak
│   │   │   ├── fifo1.xise
│   │   │   ├── fifo1_xmdf.tcl
│   │   │   ├── fifo2.asy
│   │   │   ├── fifo2_flist.txt
│   │   │   ├── fifo2.gise
│   │   │   ├── fifo2.ncf
│   │   │   ├── fifo2.ngc
│   │   │   ├── fifo2.sym
│   │   │   ├── fifo2.v
│   │   │   ├── fifo2.veo
│   │   │   ├── fifo2.vhd
│   │   │   ├── fifo2.vho
│   │   │   ├── fifo2.xco
│   │   │   ├── fifo2.xco.bak
│   │   │   ├── fifo2.xise
│   │   │   ├── fifo2_xmdf.tcl
│   │   │   ├── fifo.asy
│   │   │   ├── fifo_flist.txt
│   │   │   ├── fifo_generator_readme.txt
│   │   │   ├── fifo_generator_ug175.pdf
│   │   │   ├── fifo.gise
│   │   │   ├── fifo.ncf
│   │   │   ├── fifo.ngc
│   │   │   ├── fifo.sym
│   │   │   ├── fifo.v
│   │   │   ├── fifo.veo
│   │   │   ├── fifo.vhd
│   │   │   ├── fifo.vho
│   │   │   ├── fifo.xco
│   │   │   ├── fifo.xco.bak
│   │   │   ├── fifo.xise
│   │   │   ├── fifo_xmdf.tcl
│   │   │   ├── image_ram
│   │   │   │   ├── docs
│   │   │   │   │   ├── ug388.pdf
│   │   │   │   │   └── ug416.pdf
│   │   │   │   ├── example_design
│   │   │   │   │   ├── datasheet.txt
│   │   │   │   │   ├── log.txt
│   │   │   │   │   ├── mig.prj
│   │   │   │   │   ├── par
│   │   │   │   │   │   ├── create_ise.bat
│   │   │   │   │   │   ├── example_top.ucf
│   │   │   │   │   │   ├── icon_coregen.xco
│   │   │   │   │   │   ├── ila_coregen.xco
│   │   │   │   │   │   ├── ise_flow.bat
│   │   │   │   │   │   ├── ise_run.txt
│   │   │   │   │   │   ├── makeproj.bat
│   │   │   │   │   │   ├── mem_interface_top.ut
│   │   │   │   │   │   ├── readme.txt
│   │   │   │   │   │   ├── rem_files.bat
│   │   │   │   │   │   ├── set_ise_prop.tcl
│   │   │   │   │   │   └── vio_coregen.xco
│   │   │   │   │   ├── readme.txt
│   │   │   │   │   ├── rtl
│   │   │   │   │   │   ├── axi
│   │   │   │   │   │   │   ├── a_upsizer.v
│   │   │   │   │   │   │   ├── axic_register_slice.v
│   │   │   │   │   │   │   ├── axi_mcb_ar_channel.v
│   │   │   │   │   │   │   ├── axi_mcb_aw_channel.v
│   │   │   │   │   │   │   ├── axi_mcb_b_channel.v
│   │   │   │   │   │   │   ├── axi_mcb_cmd_arbiter.v
│   │   │   │   │   │   │   ├── axi_mcb_cmd_fsm.v
│   │   │   │   │   │   │   ├── axi_mcb_cmd_translator.v
│   │   │   │   │   │   │   ├── axi_mcb_incr_cmd.v
│   │   │   │   │   │   │   ├── axi_mcb_r_channel.v
│   │   │   │   │   │   │   ├── axi_mcb_simple_fifo.v
│   │   │   │   │   │   │   ├── axi_mcb.v
│   │   │   │   │   │   │   ├── axi_mcb_w_channel.v
│   │   │   │   │   │   │   ├── axi_mcb_wrap_cmd.v
│   │   │   │   │   │   │   ├── axi_register_slice.v
│   │   │   │   │   │   │   ├── axi_upsizer.v
│   │   │   │   │   │   │   ├── carry_and.v
│   │   │   │   │   │   │   ├── carry_latch_and.v
│   │   │   │   │   │   │   ├── carry_latch_or.v
│   │   │   │   │   │   │   ├── carry_or.v
│   │   │   │   │   │   │   ├── carry.v
│   │   │   │   │   │   │   ├── command_fifo.v
│   │   │   │   │   │   │   ├── comparator_mask_static.v
│   │   │   │   │   │   │   ├── comparator_mask.v
│   │   │   │   │   │   │   ├── comparator_sel_mask_static.v
│   │   │   │   │   │   │   ├── comparator_sel_mask.v
│   │   │   │   │   │   │   ├── comparator_sel_static.v
│   │   │   │   │   │   │   ├── comparator_sel.v
│   │   │   │   │   │   │   ├── comparator_static.v
│   │   │   │   │   │   │   ├── comparator.v
│   │   │   │   │   │   │   ├── mcb_ui_top_synch.v
│   │   │   │   │   │   │   ├── mux.v
│   │   │   │   │   │   │   ├── r_upsizer.v
│   │   │   │   │   │   │   └── w_upsizer.v
│   │   │   │   │   │   ├── example_top.v
│   │   │   │   │   │   ├── infrastructure.v
│   │   │   │   │   │   ├── mcb_controller
│   │   │   │   │   │   │   ├── iodrp_controller.v
│   │   │   │   │   │   │   ├── iodrp_mcb_controller.v
│   │   │   │   │   │   │   ├── mcb_raw_wrapper.v
│   │   │   │   │   │   │   ├── mcb_soft_calibration_top.v
│   │   │   │   │   │   │   ├── mcb_soft_calibration.v
│   │   │   │   │   │   │   └── mcb_ui_top.v
│   │   │   │   │   │   ├── memc_wrapper.v
│   │   │   │   │   │   └── traffic_gen
│   │   │   │   │   │   ├── afifo.v
│   │   │   │   │   │   ├── cmd_gen.v
│   │   │   │   │   │   ├── cmd_prbs_gen.v
│   │   │   │   │   │   ├── data_prbs_gen.v
│   │   │   │   │   │   ├── init_mem_pattern_ctr.v
│   │   │   │   │   │   ├── mcb_flow_control.v
│   │   │   │   │   │   ├── mcb_traffic_gen.v
│   │   │   │   │   │   ├── rd_data_gen.v
│   │   │   │   │   │   ├── read_data_path.v
│   │   │   │   │   │   ├── read_posted_fifo.v
│   │   │   │   │   │   ├── sp6_data_gen.v
│   │   │   │   │   │   ├── tg_status.v
│   │   │   │   │   │   ├── v6_data_gen.v
│   │   │   │   │   │   ├── wr_data_gen.v
│   │   │   │   │   │   └── write_data_path.v
│   │   │   │   │   ├── sim
│   │   │   │   │   │   └── functional
│   │   │   │   │   │   ├── ddr_model_c1.v
│   │   │   │   │   │   ├── ddr_model_c3.v
│   │   │   │   │   │   ├── ddr_model_c4.v
│   │   │   │   │   │   ├── ddr_model_c5.v
│   │   │   │   │   │   ├── ddr_model_parameters_c1.vh
│   │   │   │   │   │   ├── ddr_model_parameters_c3.vh
│   │   │   │   │   │   ├── ddr_model_parameters_c4.vh
│   │   │   │   │   │   ├── ddr_model_parameters_c5.vh
│   │   │   │   │   │   ├── image_ram.prj
│   │   │   │   │   │   ├── isim.bat
│   │   │   │   │   │   ├── isim.tcl
│   │   │   │   │   │   ├── readme.txt
│   │   │   │   │   │   ├── sim.do
│   │   │   │   │   │   └── sim_tb_top.v
│   │   │   │   │   └── synth
│   │   │   │   │   ├── example_top.lso
│   │   │   │   │   ├── example_top.prj
│   │   │   │   │   ├── mem_interface_top_synp.sdc
│   │   │   │   │   └── script_synp.tcl
│   │   │   │   └── user_design
│   │   │   │   ├── datasheet.txt
│   │   │   │   ├── log.txt
│   │   │   │   ├── mig.prj
│   │   │   │   ├── par
│   │   │   │   │   ├── create_ise.bat
│   │   │   │   │   ├── icon_coregen.xco
│   │   │   │   │   ├── ila_coregen.xco
│   │   │   │   │   ├── image_ram.ucf
│   │   │   │   │   ├── ise_flow.bat
│   │   │   │   │   ├── ise_run.txt
│   │   │   │   │   ├── makeproj.bat
│   │   │   │   │   ├── mem_interface_top.ut
│   │   │   │   │   ├── readme.txt
│   │   │   │   │   ├── rem_files.bat
│   │   │   │   │   ├── set_ise_prop.tcl
│   │   │   │   │   └── vio_coregen.xco
│   │   │   │   ├── rtl
│   │   │   │   │   ├── axi
│   │   │   │   │   │   ├── a_upsizer.v
│   │   │   │   │   │   ├── axic_register_slice.v
│   │   │   │   │   │   ├── axi_mcb_ar_channel.v
│   │   │   │   │   │   ├── axi_mcb_aw_channel.v
│   │   │   │   │   │   ├── axi_mcb_b_channel.v
│   │   │   │   │   │   ├── axi_mcb_cmd_arbiter.v
│   │   │   │   │   │   ├── axi_mcb_cmd_fsm.v
│   │   │   │   │   │   ├── axi_mcb_cmd_translator.v
│   │   │   │   │   │   ├── axi_mcb_incr_cmd.v
│   │   │   │   │   │   ├── axi_mcb_r_channel.v
│   │   │   │   │   │   ├── axi_mcb_simple_fifo.v
│   │   │   │   │   │   ├── axi_mcb.v
│   │   │   │   │   │   ├── axi_mcb_w_channel.v
│   │   │   │   │   │   ├── axi_mcb_wrap_cmd.v
│   │   │   │   │   │   ├── axi_register_slice.v
│   │   │   │   │   │   ├── axi_upsizer.v
│   │   │   │   │   │   ├── carry_and.v
│   │   │   │   │   │   ├── carry_latch_and.v
│   │   │   │   │   │   ├── carry_latch_or.v
│   │   │   │   │   │   ├── carry_or.v
│   │   │   │   │   │   ├── carry.v
│   │   │   │   │   │   ├── command_fifo.v
│   │   │   │   │   │   ├── comparator_mask_static.v
│   │   │   │   │   │   ├── comparator_mask.v
│   │   │   │   │   │   ├── comparator_sel_mask_static.v
│   │   │   │   │   │   ├── comparator_sel_mask.v
│   │   │   │   │   │   ├── comparator_sel_static.v
│   │   │   │   │   │   ├── comparator_sel.v
│   │   │   │   │   │   ├── comparator_static.v
│   │   │   │   │   │   ├── comparator.v
│   │   │   │   │   │   ├── mcb_ui_top_synch.v
│   │   │   │   │   │   ├── mux.v
│   │   │   │   │   │   ├── r_upsizer.v
│   │   │   │   │   │   └── w_upsizer.v
│   │   │   │   │   ├── image_ram.v
│   │   │   │   │   ├── infrastructure.v
│   │   │   │   │   ├── mcb_controller
│   │   │   │   │   │   ├── iodrp_controller.v
│   │   │   │   │   │   ├── iodrp_mcb_controller.v
│   │   │   │   │   │   ├── mcb_raw_wrapper.v
│   │   │   │   │   │   ├── mcb_soft_calibration_top.v
│   │   │   │   │   │   ├── mcb_soft_calibration.v
│   │   │   │   │   │   └── mcb_ui_top.v
│   │   │   │   │   └── memc_wrapper.v
│   │   │   │   ├── sim
│   │   │   │   │   ├── afifo.v
│   │   │   │   │   ├── cmd_gen.v
│   │   │   │   │   ├── cmd_prbs_gen.v
│   │   │   │   │   ├── data_prbs_gen.v
│   │   │   │   │   ├── ddr_model_c1.v
│   │   │   │   │   ├── ddr_model_c3.v
│   │   │   │   │   ├── ddr_model_c4.v
│   │   │   │   │   ├── ddr_model_c5.v
│   │   │   │   │   ├── ddr_model_parameters_c1.vh
│   │   │   │   │   ├── ddr_model_parameters_c3.vh
│   │   │   │   │   ├── ddr_model_parameters_c4.vh
│   │   │   │   │   ├── ddr_model_parameters_c5.vh
│   │   │   │   │   ├── image_ram.prj
│   │   │   │   │   ├── init_mem_pattern_ctr.v
│   │   │   │   │   ├── isim.bat
│   │   │   │   │   ├── isim.tcl
│   │   │   │   │   ├── mcb_flow_control.v
│   │   │   │   │   ├── mcb_traffic_gen.v
│   │   │   │   │   ├── rd_data_gen.v
│   │   │   │   │   ├── read_data_path.v
│   │   │   │   │   ├── readme.txt
│   │   │   │   │   ├── read_posted_fifo.v
│   │   │   │   │   ├── sim.do
│   │   │   │   │   ├── sim_tb_top.v
│   │   │   │   │   ├── sp6_data_gen.v
│   │   │   │   │   ├── tg_status.v
│   │   │   │   │   ├── v6_data_gen.v
│   │   │   │   │   ├── wr_data_gen.v
│   │   │   │   │   └── write_data_path.v
│   │   │   │   └── synth
│   │   │   │   ├── image_ram.lso
│   │   │   │   ├── image_ram.prj
│   │   │   │   ├── mem_interface_top_synp.sdc
│   │   │   │   └── script_synp.tcl
│   │   │   ├── image_ram_flist.txt
│   │   │   ├── image_ram.gise
│   │   │   ├── image_ram_readme.txt
│   │   │   ├── image_ram.veo
│   │   │   ├── image_ram.xco
│   │   │   ├── image_ram.xco.bak
│   │   │   ├── image_ram.xise
│   │   │   ├── image_ram_xmdf.tcl
│   │   │   ├── image_rom.asy
│   │   │   ├── image_rom_flist.txt
│   │   │   ├── image_rom.gise
│   │   │   ├── image_rom.mif
│   │   │   ├── image_rom.ngc
│   │   │   ├── image_rom.sym
│   │   │   ├── image_rom.txt
│   │   │   ├── image_rom.v
│   │   │   ├── image_rom.veo
│   │   │   ├── image_rom.vhd
│   │   │   ├── image_rom.vho
│   │   │   ├── image_rom.xco
│   │   │   ├── image_rom.xco.bak
│   │   │   ├── image_rom.xise
│   │   │   ├── image_rom_xmdf.tcl
│   │   │   ├── image.txt
│   │   │   ├── rom1_0.coe
│   │   │   ├── rom_1.asy
│   │   │   ├── rom_1_flist.txt
│   │   │   ├── rom_1.gise
│   │   │   ├── rom_1.mif
│   │   │   ├── rom_1.ncf
│   │   │   ├── rom_1.ngc
│   │   │   ├── rom_1.sym
│   │   │   ├── rom1..txt
│   │   │   ├── rom_1.v
│   │   │   ├── rom_1.veo
│   │   │   ├── rom_1.vhd
│   │   │   ├── rom_1.vho
│   │   │   ├── rom_1.xco
│   │   │   ├── rom_1.xco.bak
│   │   │   ├── rom_1.xise
│   │   │   ├── rom_1_xmdf.tcl
│   │   │   ├── rom2.coe
│   │   │   ├── rom.asy
│   │   │   ├── rom.coe
│   │   │   ├── rom_flist.txt
│   │   │   ├── rom.gise
│   │   │   ├── rom.mif
│   │   │   ├── rom.ncf
│   │   │   ├── rom.ngc
│   │   │   ├── rom.sym
│   │   │   ├── rom.v
│   │   │   ├── rom.veo
│   │   │   ├── rom.vhd
│   │   │   ├── rom.vho
│   │   │   ├── rom.xco
│   │   │   ├── rom.xco.bak
│   │   │   ├── rom.xise
│   │   │   ├── rom_xmdf.tcl
│   │   │   ├── tector.txt
│   │   │   ├── tector.txt.bak
│   │   │   ├── tector.xt
│   │   │   ├── tmp
│   │   │   │   └── _cg
│   │   │   │   └── xil_13564_5.out
│   │   │   ├── xil_17804_6.in
│   │   │   └── _xmsgs
│   │   │   ├── ngcbuild.xmsgs
│   │   │   ├── pn_parser.xmsgs
│   │   │   └── xst.xmsgs
│   │   ├── iseconfig
│   │   │   ├── medfilt.projectmgr
│   │   │   ├── median_filter.xreport
│   │   │   └── window3by3_generate.xreport
│   │   ├── isim
│   │   │   ├── isim_usage_statistics.html
│   │   │   ├── median_filter_text_isim_beh.exe.sim
│   │   │   │   ├── isimcrash.log
│   │   │   │   ├── ISimEngine-DesignHierarchy.dbg
│   │   │   │   ├── isimkernel.log
│   │   │   │   ├── median_filter_text_isim_beh.exe
│   │   │   │   ├── netId.dat
│   │   │   │   ├── tmp_save
│   │   │   │   │   └── _1
│   │   │   │   ├── work
│   │   │   │   │   ├── m_00000000000140834608_2419565134.c
│   │   │   │   │   ├── m_00000000000140834608_2419565134.didat
│   │   │   │   │   ├── m_00000000000140834608_2419565134.nt.obj
│   │   │   │   │   ├── m_00000000000529377018_0374309350.c
│   │   │   │   │   ├── m_00000000000529377018_0374309350.didat
│   │   │   │   │   ├── m_00000000000529377018_0374309350.nt.obj
│   │   │   │   │   ├── m_00000000000965659640_4179318897.c
│   │   │   │   │   ├── m_00000000000965659640_4179318897.didat
│   │   │   │   │   ├── m_00000000000965659640_4179318897.nt.obj
│   │   │   │   │   ├── m_00000000001539594991_4068349104.c
│   │   │   │   │   ├── m_00000000001539594991_4068349104.didat
│   │   │   │   │   ├── m_00000000001539594991_4068349104.nt.obj
│   │   │   │   │   ├── m_00000000002013452923_2073120511.c
│   │   │   │   │   ├── m_00000000002013452923_2073120511.didat
│   │   │   │   │   ├── m_00000000002013452923_2073120511.nt.obj
│   │   │   │   │   ├── m_00000000003399395142_0948142031.c
│   │   │   │   │   ├── m_00000000003399395142_0948142031.didat
│   │   │   │   │   ├── m_00000000003399395142_0948142031.nt.obj
│   │   │   │   │   ├── m_00000000003645434707_2220189930.c
│   │   │   │   │   ├── m_00000000003645434707_2220189930.didat
│   │   │   │   │   ├── m_00000000003645434707_2220189930.nt.obj
│   │   │   │   │   ├── m_00000000003691783304_3860810696.c
│   │   │   │   │   ├── m_00000000003691783304_3860810696.didat
│   │   │   │   │   ├── m_00000000003691783304_3860810696.nt.obj
│   │   │   │   │   ├── median_filter_text_isim_beh.exe_main.c
│   │   │   │   │   └── median_filter_text_isim_beh.exe_main.nt.obj
│   │   │   │   └── xilinxcorelib_ver
│   │   │   │   ├── m_00000000000251481295_1416771757.c
│   │   │   │   ├── m_00000000000251481295_1416771757.didat
│   │   │   │   ├── m_00000000000251481295_1416771757.nt.obj
│   │   │   │   ├── m_00000000000468827648_0893497230.c
│   │   │   │   ├── m_00000000000468827648_0893497230.didat
│   │   │   │   ├── m_00000000000468827648_0893497230.nt.obj
│   │   │   │   ├── m_00000000001036818086_4228004225.c
│   │   │   │   ├── m_00000000001036818086_4228004225.didat
│   │   │   │   ├── m_00000000001036818086_4228004225.nt.obj
│   │   │   │   ├── m_00000000001184809869_0281268144.c
│   │   │   │   ├── m_00000000001184809869_0281268144.didat
│   │   │   │   ├── m_00000000001184809869_0281268144.nt.obj
│   │   │   │   ├── m_00000000001184809869_2098973545.c
│   │   │   │   ├── m_00000000001184809869_2098973545.didat
│   │   │   │   ├── m_00000000001184809869_2098973545.nt.obj
│   │   │   │   ├── m_00000000002216354146_0409996226.c
│   │   │   │   ├── m_00000000002216354146_0409996226.didat
│   │   │   │   ├── m_00000000002216354146_0409996226.nt.obj
│   │   │   │   ├── m_00000000003578988121_2926760824.c
│   │   │   │   ├── m_00000000003578988121_2926760824.didat
│   │   │   │   ├── m_00000000003578988121_2926760824.nt.obj
│   │   │   │   ├── m_00000000003829494420_3281787270.c
│   │   │   │   ├── m_00000000003829494420_3281787270.didat
│   │   │   │   └── m_00000000003829494420_3281787270.nt.obj
│   │   │   ├── temp
│   │   │   │   └── median_text.sdb
│   │   │   └── work
│   │   │   └── median_text.sdb
│   │   ├── isim.cmd
│   │   ├── isim.log
│   │   ├── meddle.v
│   │   ├── medfilt.gise
│   │   ├── medfilt.xise
│   │   ├── median.cmd_log
│   │   ├── median_filter.bld
│   │   ├── median_filter.cmd_log
│   │   ├── median_filter_envsettings.html
│   │   ├── median_filter_guide.ncd
│   │   ├── median_filter.jhd
│   │   ├── median_filter.lso
│   │   ├── median_filter_map.map
│   │   ├── median_filter_map.mrp
│   │   ├── median_filter_map.ncd
│   │   ├── median_filter_map.ngm
│   │   ├── median_filter_map.xrpt
│   │   ├── median_filter.ncd
│   │   ├── median_filter.ngc
│   │   ├── median_filter.ngd
│   │   ├── median_filter_ngdbuild.xrpt
│   │   ├── median_filter.ngr
│   │   ├── median_filter.pad
│   │   ├── median_filter_pad.csv
│   │   ├── median_filter_pad.txt
│   │   ├── median_filter.par
│   │   ├── median_filter_par.xrpt
│   │   ├── median_filter.pcf
│   │   ├── median_filter.prj
│   │   ├── median_filter.ptwx
│   │   ├── median_filter.sch
│   │   ├── median_filter.schlog
│   │   ├── median_filter.spl
│   │   ├── median_filter.stx
│   │   ├── median_filter_summary.html
│   │   ├── median_filter_summary.xml
│   │   ├── median_filter.sym
│   │   ├── median_filter.syr
│   │   ├── median_filter_text_beh.prj
│   │   ├── median_filter_text.fdo
│   │   ├── median_filter_text_isim_beh1.wdb
│   │   ├── median_filter_text_isim_beh3.wdb
│   │   ├── median_filter_text_isim_beh.exe
│   │   ├── median_filter_text_isim_beh.wdb
│   │   ├── median_filter_text_isim_translate.exe
│   │   ├── median_filter_text_isim_translate.wdb
│   │   ├── median_filter_text_stx_beh.prj
│   │   ├── median_filter_text_stx_translate.prj
│   │   ├── median_filter_text_translate.prj
│   │   ├── median_filter_text.udo
│   │   ├── median_filter_text.v
│   │   ├── median_filter_text_wave.fdo
│   │   ├── median_filter.tfi
│   │   ├── median_filter.twr
│   │   ├── median_filter.twx
│   │   ├── median_filter.unroutes
│   │   ├── median_filter_usage.xml
│   │   ├── median_filter.v
│   │   ├── median_filter.xpi
│   │   ├── median_filter.xst
│   │   ├── median_filter_xst.xrpt
│   │   ├── median.lso
│   │   ├── median.prj
│   │   ├── median.spl
│   │   ├── median.stx
│   │   ├── median_summary.html
│   │   ├── median.sym
│   │   ├── median_text_isim_beh2.wdb
│   │   ├── median_text_isim_beh3.wdb
│   │   ├── median_text_isim_beh.exe
│   │   ├── median_text_stx_beh.prj
│   │   ├── median_text_stx_translate.prj
│   │   ├── median_text_translate.prj
│   │   ├── median_text.v
│   │   ├── median.tfi
│   │   ├── median.v
│   │   ├── median.xst
│   │   ├── middle.cmd_log
│   │   ├── middle.lso
│   │   ├── middle.prj
│   │   ├── middle.stx
│   │   ├── middle.tfi
│   │   ├── middle.v
│   │   ├── middle.xst
│   │   ├── mid.jhd
│   │   ├── mid.sch
│   │   ├── mid.schlog
│   │   ├── netgen
│   │   │   ├── synthesis
│   │   │   │   ├── median_filter_synthesis.nlf
│   │   │   │   └── median_filter_synthesis.v
│   │   │   └── translate
│   │   │   ├── median_filter_translate.nlf
│   │   │   └── median_filter_translate.v
│   │   ├── _ngo
│   │   │   └── netlist.lst
│   │   ├── par_usage_statistics.html
│   │   ├── rom1_0.coe
│   │   ├── rom_1.coe
│   │   ├── rom_1.mif
│   │   ├── rom2.coe
│   │   ├── rom.coe
│   │   ├── rom_image.coe
│   │   ├── rom_image_noise.coe
│   │   ├── rom.mif
│   │   ├── sch2HdlBatchFile
│   │   ├── tector.txt
│   │   ├── tector.xt
│   │   ├── transcript
│   │   ├── txt
│   │   ├── vsim_stacktrace.vstf
│   │   ├── vsim.wlf
│   │   ├── webtalk_pn.xml
│   │   ├── wendow1.wcfg
│   │   ├── window3by3_generate.bld
│   │   ├── window3by3_generate.cmd_log
│   │   ├── window3by3_generate_envsettings.html
│   │   ├── window3by3_generate_guide.ncd
│   │   ├── window3by3_generate_isim_beh.exe
│   │   ├── window3by3_generate.lso
│   │   ├── window3by3_generate_map.map
│   │   ├── window3by3_generate_map.mrp
│   │   ├── window3by3_generate_map.ncd
│   │   ├── window3by3_generate_map.ngm
│   │   ├── window3by3_generate_map.xrpt
│   │   ├── window3by3_generate.ncd
│   │   ├── window3by3_generate.ngc
│   │   ├── window3by3_generate.ngd
│   │   ├── window3by3_generate_ngdbuild.xrpt
│   │   ├── window3by3_generate.ngr
│   │   ├── window3by3_generate.pad
│   │   ├── window3by3_generate_pad.csv
│   │   ├── window3by3_generate_pad.txt
│   │   ├── window3by3_generate.par
│   │   ├── window3by3_generate_par.xrpt
│   │   ├── window3by3_generate.pcf
│   │   ├── window3by3_generate.prj
│   │   ├── window3by3_generate.ptwx
│   │   ├── window3by3_generate.spl
│   │   ├── window3by3_generate.stx
│   │   ├── window3by3_generate_summary.html
│   │   ├── window3by3_generate_summary.xml
│   │   ├── window3by3_generate.sym
│   │   ├── window3by3_generate.syr
│   │   ├── window3by3_generate_text.fdo
│   │   ├── window3by3_generate_text_isim_beh1.wdb
│   │   ├── window3by3_generate_text_isim_beh.exe
│   │   ├── window3by3_generate_text_stx_beh.prj
│   │   ├── window3by3_generate_text.udo
│   │   ├── window3by3_generate_text.v
│   │   ├── window3by3_generate_text_wave.fdo
│   │   ├── window3by3_generate.tfi
│   │   ├── window3by3_generate.twr
│   │   ├── window3by3_generate.twx
│   │   ├── window3by3_generate.unroutes
│   │   ├── window3by3_generate_usage.xml
│   │   ├── window3by3_generate.v
│   │   ├── window3by3_generate.xpi
│   │   ├── window3by3_generate.xst
│   │   ├── window3by3_generate_xst.xrpt
│   │   ├── work
│   │   │   ├── fifo
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── glbl
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── _info
│   │   │   ├── median
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── median_filter
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── median_filter_text
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── middle
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @_opt
│   │   │   │   ├── _deps
│   │   │   │   ├── vopt0ijswn
│   │   │   │   ├── vopt0mjvra
│   │   │   │   ├── vopt0ngy7c
│   │   │   │   ├── vopt0t1dyb
│   │   │   │   ├── vopt11aqwe
│   │   │   │   ├── vopt1kat5f
│   │   │   │   ├── vopt1vmydc
│   │   │   │   ├── vopt25cx4f
│   │   │   │   ├── vopt26izjd
│   │   │   │   ├── vopt329mwn
│   │   │   │   ├── vopt359rra
│   │   │   │   ├── vopt4aqayb
│   │   │   │   ├── vopt4bbvdc
│   │   │   │   ├── vopt4t9rec
│   │   │   │   ├── vopt4z5vk1
│   │   │   │   ├── vopt58q3x9
│   │   │   │   ├── vopt5m1s4f
│   │   │   │   ├── vopt5r8kfn
│   │   │   │   ├── vopt5seqyk
│   │   │   │   ├── vopt6n7wjd
│   │   │   │   ├── vopt7mymra
│   │   │   │   ├── vopt7tc7yb
│   │   │   │   ├── vopt7ttmyd
│   │   │   │   ├── vopt80keds
│   │   │   │   ├── vopt88yhfn
│   │   │   │   ├── vopt8v0qdc
│   │   │   │   ├── vopt95qn4f
│   │   │   │   ├── vopt96xsjd
│   │   │   │   ├── vopt988zcb
│   │   │   │   ├── vopt994jyk
│   │   │   │   ├── voptahicxn
│   │   │   │   ├── voptb5kira
│   │   │   │   ├── voptba24yb
│   │   │   │   ├── voptbbnkdc
│   │   │   │   ├── voptbf78ye
│   │   │   │   ├── voptc9mgen
│   │   │   │   ├── voptcg9bds
│   │   │   │   ├── voptcrjefn
│   │   │   │   ├── voptcrxwcb
│   │   │   │   ├── voptdmcj4f
│   │   │   │   ├── voptdnimjd
│   │   │   │   ├── vopte189xn
│   │   │   │   ├── voptehi013
│   │   │   │   ├── voptei9cwn
│   │   │   │   ├── voptem9era
│   │   │   │   ├── voptf0z8ds
│   │   │   │   ├── voptfvbhdc
│   │   │   │   ├── voptfzw5ye
│   │   │   │   ├── voptg52f4f
│   │   │   │   ├── voptg89afn
│   │   │   │   ├── voptg8jscb
│   │   │   │   ├── voptgsaden
│   │   │   │   ├── vopth68ijd
│   │   │   │   ├── vopthhx6xn
│   │   │   │   ├── vopthkx9sa
│   │   │   │   ├── vopti2z8wn
│   │   │   │   ├── voptib1edc
│   │   │   │   ├── voptifi2ye
│   │   │   │   ├── voptimtb8c
│   │   │   │   ├── voptitzbec
│   │   │   │   ├── voptj8t7zq
│   │   │   │   ├── voptj90aen
│   │   │   │   ├── voptjgk4ds
│   │   │   │   ├── voptk6sf3f
│   │   │   │   ├── voptkmqc4f
│   │   │   │   ├── voptm1j3xn
│   │   │   │   ├── voptm4j5sa
│   │   │   │   ├── voptm5g88c
│   │   │   │   ├── voptn0a1ds
│   │   │   │   ├── voptnam8ec
│   │   │   │   ├── voptnvnadc
│   │   │   │   ├── voptq5d94f
│   │   │   │   ├── voptqqwgdb
│   │   │   │   ├── voptqrf4zq
│   │   │   │   ├── voptqsm6en
│   │   │   │   ├── voptsh8zwn
│   │   │   │   ├── voptsk82sa
│   │   │   │   ├── voptsm558c
│   │   │   │   ├── voptssnkyb
│   │   │   │   ├── voptsta4ec
│   │   │   │   ├── voptt0zywe
│   │   │   │   ├── voptt850zq
│   │   │   │   ├── vopttbc7dc
│   │   │   │   ├── voptv8rfw9
│   │   │   │   ├── voptv9b3en
│   │   │   │   ├── voptw1ywwn
│   │   │   │   ├── voptw4yzra
│   │   │   │   ├── voptw9chyb
│   │   │   │   ├── voptx5v18c
│   │   │   │   ├── voptxa01ec
│   │   │   │   ├── voptygkvwe
│   │   │   │   ├── voptyhnxbs
│   │   │   │   ├── voptyij94i
│   │   │   │   ├── voptykn05f
│   │   │   │   ├── voptyrdcw9
│   │   │   │   └── voptzmw2kd
│   │   │   ├── @_opt1
│   │   │   │   ├── _deps
│   │   │   │   ├── vopt1vd2kh
│   │   │   │   ├── vopt20aabk
│   │   │   │   ├── vopt26z7fq
│   │   │   │   ├── vopt2cr5je
│   │   │   │   ├── vopt395k1f
│   │   │   │   ├── vopt5b3zjh
│   │   │   │   ├── vopt5nk4fq
│   │   │   │   ├── vopt5wd1je
│   │   │   │   ├── vopt6qt7ym
│   │   │   │   ├── vopt731kdd
│   │   │   │   ├── vopt7sth1f
│   │   │   │   ├── vopt82xv6g
│   │   │   │   ├── vopt96a1fq
│   │   │   │   ├── vopt9wyy2g
│   │   │   │   ├── voptb9ge1f
│   │   │   │   ├── voptbjngdd
│   │   │   │   ├── voptcckv2g
│   │   │   │   ├── voptciir6g
│   │   │   │   ├── voptdz8xbk
│   │   │   │   ├── voptes5a1f
│   │   │   │   ├── voptf3cddd
│   │   │   │   ├── voptg5rrzm
│   │   │   │   ├── voptgfytbk
│   │   │   │   ├── vopti9v71f
│   │   │   │   ├── voptij1add
│   │   │   │   ├── voptjb2ije
│   │   │   │   ├── voptkmdkzm
│   │   │   │   ├── voptkzjqbk
│   │   │   │   ├── voptmsg41f
│   │   │   │   ├── voptnai70f
│   │   │   │   ├── voptnthc4g
│   │   │   │   ├── voptqf9kbk
│   │   │   │   ├── voptqmyhfq
│   │   │   │   ├── voptqvqeje
│   │   │   │   ├── voptssm1hk
│   │   │   │   ├── voptst730f
│   │   │   │   ├── voptta784g
│   │   │   │   ├── vopttbdbje
│   │   │   │   ├── voptv5kefq
│   │   │   │   ├── voptvzygbk
│   │   │   │   ├── voptx9bxgk
│   │   │   │   ├── voptym9afq
│   │   │   │   ├── voptytw54g
│   │   │   │   ├── voptyv28je
│   │   │   │   └── voptzfkdbk
│   │   │   ├── rom
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── _temp
│   │   │   │   ├── vlogd06ad3
│   │   │   │   └── vlogwqas06
│   │   │   ├── _vmake
│   │   │   ├── window3by3_generate
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   └── window3by3_generate_text
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── xilinxsim.ini
│   │   ├── xlnx_auto_0_xdb
│   │   │   └── cst.xbcd
│   │   ├── _xmsgs
│   │   │   ├── map.xmsgs
│   │   │   ├── netgen.xmsgs
│   │   │   ├── ngdbuild.xmsgs
│   │   │   ├── par.xmsgs
│   │   │   ├── pn_parser.xmsgs
│   │   │   ├── trce.xmsgs
│   │   │   └── xst.xmsgs
│   │   └── xst
│   │   └── work
│   │   ├── work.sdbl
│   │   └── work.sdbx
│   └── 三种类型fifo的设计方案.doc
├── matlab
│   ├── cameraman.tif
│   ├── coins.png
│   ├── data_out.txt
│   ├── data_out.txt.bak
│   ├── demo.tif
│   ├── ex1.m
│   ├── exp.txt
│   ├── med3.asv
│   ├── med3.m
│   ├── medfilt2.asv
│   ├── medfilter1.asv
│   ├── medfilter1.m
│   ├── medfilter2.asv
│   ├── medfilter2.m
│   ├── median_filter_demo.jpg
│   ├── shuju.asv
│   ├── shuju.m
│   ├── 有晴图片处理
│   │   ├── bag.png
│   │   ├── blobs.png
│   │   ├── C_bag.png
│   │   ├── C_blobs.png
│   │   ├── circles.png
│   │   ├── coins.png
│   │   ├── football.jpg
│   │   ├── football.png
│   │   ├── onion.png
│   │   ├── snowflakes.png
│   │   └── text.png
│   └── 解压此文件即可.zip
├── ppt
│   └── 数字图像处理中值滤波的设计.ppt
└── zh
├── control.v
├── db
│   ├── a_dpfifo_6g31.tdf
│   ├── a_fefifo_m4f.tdf
│   ├── altsyncram_urj1.tdf
│   ├── cntr_cjb.tdf
│   ├── cntr_oj7.tdf
│   ├── dpram_rt01.tdf
│   ├── prev_cmp_zh.asm.qmsg
│   ├── prev_cmp_zh.fit.qmsg
│   ├── prev_cmp_zh.map.qmsg
│   ├── prev_cmp_zh.qmsg
│   ├── prev_cmp_zh.sim.qmsg
│   ├── prev_cmp_zh.tan.qmsg
│   ├── scfifo_v931.tdf
│   ├── wed.wsf
│   ├── zh.(0).cnf.cdb
│   ├── zh.(0).cnf.hdb
│   ├── zh.(10).cnf.cdb
│   ├── zh.(10).cnf.hdb
│   ├── zh.(11).cnf.cdb
│   ├── zh.(11).cnf.hdb
│   ├── zh.(12).cnf.cdb
│   ├── zh.(12).cnf.hdb
│   ├── zh.(13).cnf.cdb
│   ├── zh.(13).cnf.hdb
│   ├── zh.(14).cnf.cdb
│   ├── zh.(14).cnf.hdb
│   ├── zh.(15).cnf.cdb
│   ├── zh.(15).cnf.hdb
│   ├── zh.(16).cnf.cdb
│   ├── zh.(16).cnf.hdb
│   ├── zh.(1).cnf.cdb
│   ├── zh.(1).cnf.hdb
│   ├── zh.(2).cnf.cdb
│   ├── zh.(2).cnf.hdb
│   ├── zh.(3).cnf.cdb
│   ├── zh.(3).cnf.hdb
│   ├── zh.(4).cnf.cdb
│   ├── zh.(4).cnf.hdb
│   ├── zh.(5).cnf.cdb
│   ├── zh.(5).cnf.hdb
│   ├── zh.(6).cnf.cdb
│   ├── zh.(6).cnf.hdb
│   ├── zh.(7).cnf.cdb
│   ├── zh.(7).cnf.hdb
│   ├── zh.(8).cnf.cdb
│   ├── zh.(8).cnf.hdb
│   ├── zh.(9).cnf.cdb
│   ├── zh.(9).cnf.hdb
│   ├── zh.asm_labs.ddb
│   ├── zh.asm.qmsg
│   ├── zh.cbx.xml
│   ├── zh.cmp0.ddb
│   ├── zh.cmp_bb.cdb
│   ├── zh.cmp_bb.hdb
│   ├── zh.cmp_bb.logdb
│   ├── zh.cmp_bb.rcf
│   ├── zh.cmp.bpm
│   ├── zh.cmp.cdb
│   ├── zh.cmp.ecobp
│   ├── zh.cmp.hdb
│   ├── zh.cmp.logdb
│   ├── zh.cmp.rdb
│   ├── zh.cmp.tdb
│   ├── zh.db_info
│   ├── zh.dbp
│   ├── zh.eco.cdb
│   ├── zh.eds_overflow
│   ├── zh.fit.qmsg
│   ├── zh.fnsim.cdb
│   ├── zh.fnsim.hdb
│   ├── zh.fnsim.qmsg
│   ├── zh.hier_info
│   ├── zh.hif
│   ├── zh.map_bb.cdb
│   ├── zh.map_bb.hdb
│   ├── zh.map_bb.logdb
│   ├── zh.map.bpm
│   ├── zh.map.cdb
│   ├── zh.map.ecobp
│   ├── zh.map.hdb
│   ├── zh.map.logdb
│   ├── zh.map.qmsg
│   ├── zh.pre_map.cdb
│   ├── zh.pre_map.hdb
│   ├── zh.psp
│   ├── zh.pss
│   ├── zh.rtlv.hdb
│   ├── zh.rtlv_sg.cdb
│   ├── zh.rtlv_sg_swap.cdb
│   ├── zh.sgdiff.cdb
│   ├── zh.sgdiff.hdb
│   ├── zh.signalprobe.cdb
│   ├── zh.sim.cvwf
│   ├── zh.simfam
│   ├── zh.sim.hdb
│   ├── zh.sim.qmsg
│   ├── zh.sim.rdb
│   ├── zh.sld_design_entry_dsc.sci
│   ├── zh.sld_design_entry.sci
│   ├── zh.syn_hier_info
│   ├── zh.tan.qmsg
│   └── zh.tis_db_list.ddb
├── dl.v
├── d.v
├── fifo1.v
├── fifo2.v
├── fifo3.v
├── lb.v
├── middle.v
├── transcript
├── zh.asm.rpt
├── zh.done
├── zh.fit.rpt
├── zh.fit.smsg
├── zh.fit.summary
├── zh.flow.rpt
├── zh.map.rpt
├── zh.map.smsg
├── zh.map.summary
├── zh.pin
├── zh.pof
├── zh.qpf
├── zh.qsf
├── zh.qws
├── zh.sim.rpt
├── zh.sof
├── zh.tan.rpt
├── zh.tan.summary
├── zh.v
├── zh.v.bak
└── zh.vwf

74 directories, 1090 files

标签:

实例下载地址

图像中值滤波FPGA和MATLAB的实现

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警