实例介绍
使用quartus15.0做的fft ip 核工程文件,已经通过modelsim10.4d仿真通过
【实例截图】
【核心代码】
6fc711e5-5985-4bf5-afcf-e9ad492bdfeb
└── wqfft8
├── db
│ ├── add_sub_14k.tdf
│ ├── add_sub_knj.tdf
│ ├── add_sub_onj.tdf
│ ├── a_dpfifo_no81.tdf
│ ├── altsyncram_09t3.tdf
│ ├── altsyncram_19t3.tdf
│ ├── altsyncram_49t3.tdf
│ ├── altsyncram_4cp3.tdf
│ ├── altsyncram_59t3.tdf
│ ├── altsyncram_69t3.tdf
│ ├── altsyncram_ssf1.tdf
│ ├── altsyncram_v8t3.tdf
│ ├── cmpr_gs8.tdf
│ ├── cntr_ao7.tdf
│ ├── cntr_tnb.tdf
│ ├── cntr_unb.tdf
│ ├── ded_mult_la91.tdf
│ ├── dffpipe_93c.tdf
│ ├── fft_ip.(0).cnf.cdb
│ ├── fft_ip.(0).cnf.hdb
│ ├── fft_ip.(100).cnf.cdb
│ ├── fft_ip.(100).cnf.hdb
│ ├── fft_ip.(101).cnf.cdb
│ ├── fft_ip.(101).cnf.hdb
│ ├── fft_ip.(102).cnf.cdb
│ ├── fft_ip.(102).cnf.hdb
│ ├── fft_ip.(103).cnf.cdb
│ ├── fft_ip.(103).cnf.hdb
│ ├── fft_ip.(104).cnf.cdb
│ ├── fft_ip.(104).cnf.hdb
│ ├── fft_ip.(105).cnf.cdb
│ ├── fft_ip.(105).cnf.hdb
│ ├── fft_ip.(106).cnf.cdb
│ ├── fft_ip.(106).cnf.hdb
│ ├── fft_ip.(107).cnf.cdb
│ ├── fft_ip.(107).cnf.hdb
│ ├── fft_ip.(108).cnf.cdb
│ ├── fft_ip.(108).cnf.hdb
│ ├── fft_ip.(109).cnf.cdb
│ ├── fft_ip.(109).cnf.hdb
│ ├── fft_ip.(10).cnf.cdb
│ ├── fft_ip.(10).cnf.hdb
│ ├── fft_ip.(110).cnf.cdb
│ ├── fft_ip.(110).cnf.hdb
│ ├── fft_ip.(111).cnf.cdb
│ ├── fft_ip.(111).cnf.hdb
│ ├── fft_ip.(112).cnf.cdb
│ ├── fft_ip.(112).cnf.hdb
│ ├── fft_ip.(113).cnf.cdb
│ ├── fft_ip.(113).cnf.hdb
│ ├── fft_ip.(114).cnf.cdb
│ ├── fft_ip.(114).cnf.hdb
│ ├── fft_ip.(115).cnf.cdb
│ ├── fft_ip.(115).cnf.hdb
│ ├── fft_ip.(116).cnf.cdb
│ ├── fft_ip.(116).cnf.hdb
│ ├── fft_ip.(117).cnf.cdb
│ ├── fft_ip.(117).cnf.hdb
│ ├── fft_ip.(118).cnf.cdb
│ ├── fft_ip.(118).cnf.hdb
│ ├── fft_ip.(119).cnf.cdb
│ ├── fft_ip.(119).cnf.hdb
│ ├── fft_ip.(11).cnf.cdb
│ ├── fft_ip.(11).cnf.hdb
│ ├── fft_ip.(120).cnf.cdb
│ ├── fft_ip.(120).cnf.hdb
│ ├── fft_ip.(121).cnf.cdb
│ ├── fft_ip.(121).cnf.hdb
│ ├── fft_ip.(122).cnf.cdb
│ ├── fft_ip.(122).cnf.hdb
│ ├── fft_ip.(123).cnf.cdb
│ ├── fft_ip.(123).cnf.hdb
│ ├── fft_ip.(124).cnf.cdb
│ ├── fft_ip.(124).cnf.hdb
│ ├── fft_ip.(125).cnf.cdb
│ ├── fft_ip.(125).cnf.hdb
│ ├── fft_ip.(126).cnf.cdb
│ ├── fft_ip.(126).cnf.hdb
│ ├── fft_ip.(127).cnf.cdb
│ ├── fft_ip.(127).cnf.hdb
│ ├── fft_ip.(128).cnf.cdb
│ ├── fft_ip.(128).cnf.hdb
│ ├── fft_ip.(129).cnf.cdb
│ ├── fft_ip.(129).cnf.hdb
│ ├── fft_ip.(12).cnf.cdb
│ ├── fft_ip.(12).cnf.hdb
│ ├── fft_ip.(130).cnf.cdb
│ ├── fft_ip.(130).cnf.hdb
│ ├── fft_ip.(131).cnf.cdb
│ ├── fft_ip.(131).cnf.hdb
│ ├── fft_ip.(132).cnf.cdb
│ ├── fft_ip.(132).cnf.hdb
│ ├── fft_ip.(133).cnf.cdb
│ ├── fft_ip.(133).cnf.hdb
│ ├── fft_ip.(134).cnf.cdb
│ ├── fft_ip.(134).cnf.hdb
│ ├── fft_ip.(135).cnf.cdb
│ ├── fft_ip.(135).cnf.hdb
│ ├── fft_ip.(136).cnf.cdb
│ ├── fft_ip.(136).cnf.hdb
│ ├── fft_ip.(137).cnf.cdb
│ ├── fft_ip.(137).cnf.hdb
│ ├── fft_ip.(138).cnf.cdb
│ ├── fft_ip.(138).cnf.hdb
│ ├── fft_ip.(139).cnf.cdb
│ ├── fft_ip.(139).cnf.hdb
│ ├── fft_ip.(13).cnf.cdb
│ ├── fft_ip.(13).cnf.hdb
│ ├── fft_ip.(140).cnf.cdb
│ ├── fft_ip.(140).cnf.hdb
│ ├── fft_ip.(141).cnf.cdb
│ ├── fft_ip.(141).cnf.hdb
│ ├── fft_ip.(142).cnf.cdb
│ ├── fft_ip.(142).cnf.hdb
│ ├── fft_ip.(143).cnf.cdb
│ ├── fft_ip.(143).cnf.hdb
│ ├── fft_ip.(144).cnf.cdb
│ ├── fft_ip.(144).cnf.hdb
│ ├── fft_ip.(145).cnf.cdb
│ ├── fft_ip.(145).cnf.hdb
│ ├── fft_ip.(146).cnf.cdb
│ ├── fft_ip.(146).cnf.hdb
│ ├── fft_ip.(147).cnf.cdb
│ ├── fft_ip.(147).cnf.hdb
│ ├── fft_ip.(148).cnf.cdb
│ ├── fft_ip.(148).cnf.hdb
│ ├── fft_ip.(149).cnf.cdb
│ ├── fft_ip.(149).cnf.hdb
│ ├── fft_ip.(14).cnf.cdb
│ ├── fft_ip.(14).cnf.hdb
│ ├── fft_ip.(150).cnf.cdb
│ ├── fft_ip.(150).cnf.hdb
│ ├── fft_ip.(151).cnf.cdb
│ ├── fft_ip.(151).cnf.hdb
│ ├── fft_ip.(152).cnf.cdb
│ ├── fft_ip.(152).cnf.hdb
│ ├── fft_ip.(153).cnf.cdb
│ ├── fft_ip.(153).cnf.hdb
│ ├── fft_ip.(154).cnf.cdb
│ ├── fft_ip.(154).cnf.hdb
│ ├── fft_ip.(155).cnf.cdb
│ ├── fft_ip.(155).cnf.hdb
│ ├── fft_ip.(156).cnf.cdb
│ ├── fft_ip.(156).cnf.hdb
│ ├── fft_ip.(157).cnf.cdb
│ ├── fft_ip.(157).cnf.hdb
│ ├── fft_ip.(158).cnf.cdb
│ ├── fft_ip.(158).cnf.hdb
│ ├── fft_ip.(159).cnf.cdb
│ ├── fft_ip.(159).cnf.hdb
│ ├── fft_ip.(15).cnf.cdb
│ ├── fft_ip.(15).cnf.hdb
│ ├── fft_ip.(160).cnf.cdb
│ ├── fft_ip.(160).cnf.hdb
│ ├── fft_ip.(161).cnf.cdb
│ ├── fft_ip.(161).cnf.hdb
│ ├── fft_ip.(162).cnf.cdb
│ ├── fft_ip.(162).cnf.hdb
│ ├── fft_ip.(163).cnf.cdb
│ ├── fft_ip.(163).cnf.hdb
│ ├── fft_ip.(164).cnf.cdb
│ ├── fft_ip.(164).cnf.hdb
│ ├── fft_ip.(165).cnf.cdb
│ ├── fft_ip.(165).cnf.hdb
│ ├── fft_ip.(166).cnf.cdb
│ ├── fft_ip.(166).cnf.hdb
│ ├── fft_ip.(167).cnf.cdb
│ ├── fft_ip.(167).cnf.hdb
│ ├── fft_ip.(168).cnf.cdb
│ ├── fft_ip.(168).cnf.hdb
│ ├── fft_ip.(169).cnf.cdb
│ ├── fft_ip.(169).cnf.hdb
│ ├── fft_ip.(16).cnf.cdb
│ ├── fft_ip.(16).cnf.hdb
│ ├── fft_ip.(170).cnf.cdb
│ ├── fft_ip.(170).cnf.hdb
│ ├── fft_ip.(171).cnf.cdb
│ ├── fft_ip.(171).cnf.hdb
│ ├── fft_ip.(172).cnf.cdb
│ ├── fft_ip.(172).cnf.hdb
│ ├── fft_ip.(173).cnf.cdb
│ ├── fft_ip.(173).cnf.hdb
│ ├── fft_ip.(174).cnf.cdb
│ ├── fft_ip.(174).cnf.hdb
│ ├── fft_ip.(175).cnf.cdb
│ ├── fft_ip.(175).cnf.hdb
│ ├── fft_ip.(176).cnf.cdb
│ ├── fft_ip.(176).cnf.hdb
│ ├── fft_ip.(177).cnf.cdb
│ ├── fft_ip.(177).cnf.hdb
│ ├── fft_ip.(178).cnf.cdb
│ ├── fft_ip.(178).cnf.hdb
│ ├── fft_ip.(179).cnf.cdb
│ ├── fft_ip.(179).cnf.hdb
│ ├── fft_ip.(17).cnf.cdb
│ ├── fft_ip.(17).cnf.hdb
│ ├── fft_ip.(180).cnf.cdb
│ ├── fft_ip.(180).cnf.hdb
│ ├── fft_ip.(181).cnf.cdb
│ ├── fft_ip.(181).cnf.hdb
│ ├── fft_ip.(182).cnf.cdb
│ ├── fft_ip.(182).cnf.hdb
│ ├── fft_ip.(183).cnf.cdb
│ ├── fft_ip.(183).cnf.hdb
│ ├── fft_ip.(184).cnf.cdb
│ ├── fft_ip.(184).cnf.hdb
│ ├── fft_ip.(185).cnf.cdb
│ ├── fft_ip.(185).cnf.hdb
│ ├── fft_ip.(186).cnf.cdb
│ ├── fft_ip.(186).cnf.hdb
│ ├── fft_ip.(187).cnf.cdb
│ ├── fft_ip.(187).cnf.hdb
│ ├── fft_ip.(188).cnf.cdb
│ ├── fft_ip.(188).cnf.hdb
│ ├── fft_ip.(189).cnf.cdb
│ ├── fft_ip.(189).cnf.hdb
│ ├── fft_ip.(18).cnf.cdb
│ ├── fft_ip.(18).cnf.hdb
│ ├── fft_ip.(190).cnf.cdb
│ ├── fft_ip.(190).cnf.hdb
│ ├── fft_ip.(191).cnf.cdb
│ ├── fft_ip.(191).cnf.hdb
│ ├── fft_ip.(192).cnf.cdb
│ ├── fft_ip.(192).cnf.hdb
│ ├── fft_ip.(193).cnf.cdb
│ ├── fft_ip.(193).cnf.hdb
│ ├── fft_ip.(194).cnf.cdb
│ ├── fft_ip.(194).cnf.hdb
│ ├── fft_ip.(195).cnf.cdb
│ ├── fft_ip.(195).cnf.hdb
│ ├── fft_ip.(196).cnf.cdb
│ ├── fft_ip.(196).cnf.hdb
│ ├── fft_ip.(197).cnf.cdb
│ ├── fft_ip.(197).cnf.hdb
│ ├── fft_ip.(198).cnf.cdb
│ ├── fft_ip.(198).cnf.hdb
│ ├── fft_ip.(199).cnf.cdb
│ ├── fft_ip.(199).cnf.hdb
│ ├── fft_ip.(19).cnf.cdb
│ ├── fft_ip.(19).cnf.hdb
│ ├── fft_ip.(1).cnf.cdb
│ ├── fft_ip.(1).cnf.hdb
│ ├── fft_ip.(200).cnf.cdb
│ ├── fft_ip.(200).cnf.hdb
│ ├── fft_ip.(201).cnf.cdb
│ ├── fft_ip.(201).cnf.hdb
│ ├── fft_ip.(202).cnf.cdb
│ ├── fft_ip.(202).cnf.hdb
│ ├── fft_ip.(203).cnf.cdb
│ ├── fft_ip.(203).cnf.hdb
│ ├── fft_ip.(204).cnf.cdb
│ ├── fft_ip.(204).cnf.hdb
│ ├── fft_ip.(205).cnf.cdb
│ ├── fft_ip.(205).cnf.hdb
│ ├── fft_ip.(206).cnf.cdb
│ ├── fft_ip.(206).cnf.hdb
│ ├── fft_ip.(207).cnf.cdb
│ ├── fft_ip.(207).cnf.hdb
│ ├── fft_ip.(208).cnf.cdb
│ ├── fft_ip.(208).cnf.hdb
│ ├── fft_ip.(209).cnf.cdb
│ ├── fft_ip.(209).cnf.hdb
│ ├── fft_ip.(20).cnf.cdb
│ ├── fft_ip.(20).cnf.hdb
│ ├── fft_ip.(210).cnf.cdb
│ ├── fft_ip.(210).cnf.hdb
│ ├── fft_ip.(211).cnf.cdb
│ ├── fft_ip.(211).cnf.hdb
│ ├── fft_ip.(212).cnf.cdb
│ ├── fft_ip.(212).cnf.hdb
│ ├── fft_ip.(213).cnf.cdb
│ ├── fft_ip.(213).cnf.hdb
│ ├── fft_ip.(214).cnf.cdb
│ ├── fft_ip.(214).cnf.hdb
│ ├── fft_ip.(215).cnf.cdb
│ ├── fft_ip.(215).cnf.hdb
│ ├── fft_ip.(216).cnf.cdb
│ ├── fft_ip.(216).cnf.hdb
│ ├── fft_ip.(217).cnf.cdb
│ ├── fft_ip.(217).cnf.hdb
│ ├── fft_ip.(218).cnf.cdb
│ ├── fft_ip.(218).cnf.hdb
│ ├── fft_ip.(219).cnf.cdb
│ ├── fft_ip.(219).cnf.hdb
│ ├── fft_ip.(21).cnf.cdb
│ ├── fft_ip.(21).cnf.hdb
│ ├── fft_ip.(220).cnf.cdb
│ ├── fft_ip.(220).cnf.hdb
│ ├── fft_ip.(221).cnf.cdb
│ ├── fft_ip.(221).cnf.hdb
│ ├── fft_ip.(222).cnf.cdb
│ ├── fft_ip.(222).cnf.hdb
│ ├── fft_ip.(223).cnf.cdb
│ ├── fft_ip.(223).cnf.hdb
│ ├── fft_ip.(224).cnf.cdb
│ ├── fft_ip.(224).cnf.hdb
│ ├── fft_ip.(225).cnf.cdb
│ ├── fft_ip.(225).cnf.hdb
│ ├── fft_ip.(226).cnf.cdb
│ ├── fft_ip.(226).cnf.hdb
│ ├── fft_ip.(227).cnf.cdb
│ ├── fft_ip.(227).cnf.hdb
│ ├── fft_ip.(228).cnf.cdb
│ ├── fft_ip.(228).cnf.hdb
│ ├── fft_ip.(229).cnf.cdb
│ ├── fft_ip.(229).cnf.hdb
│ ├── fft_ip.(22).cnf.cdb
│ ├── fft_ip.(22).cnf.hdb
│ ├── fft_ip.(230).cnf.cdb
│ ├── fft_ip.(230).cnf.hdb
│ ├── fft_ip.(231).cnf.cdb
│ ├── fft_ip.(231).cnf.hdb
│ ├── fft_ip.(232).cnf.cdb
│ ├── fft_ip.(232).cnf.hdb
│ ├── fft_ip.(233).cnf.cdb
│ ├── fft_ip.(233).cnf.hdb
│ ├── fft_ip.(234).cnf.cdb
│ ├── fft_ip.(234).cnf.hdb
│ ├── fft_ip.(235).cnf.cdb
│ ├── fft_ip.(235).cnf.hdb
│ ├── fft_ip.(236).cnf.cdb
│ ├── fft_ip.(236).cnf.hdb
│ ├── fft_ip.(237).cnf.cdb
│ ├── fft_ip.(237).cnf.hdb
│ ├── fft_ip.(238).cnf.cdb
│ ├── fft_ip.(238).cnf.hdb
│ ├── fft_ip.(239).cnf.cdb
│ ├── fft_ip.(239).cnf.hdb
│ ├── fft_ip.(23).cnf.cdb
│ ├── fft_ip.(23).cnf.hdb
│ ├── fft_ip.(240).cnf.cdb
│ ├── fft_ip.(240).cnf.hdb
│ ├── fft_ip.(241).cnf.cdb
│ ├── fft_ip.(241).cnf.hdb
│ ├── fft_ip.(242).cnf.cdb
│ ├── fft_ip.(242).cnf.hdb
│ ├── fft_ip.(243).cnf.cdb
│ ├── fft_ip.(243).cnf.hdb
│ ├── fft_ip.(244).cnf.cdb
│ ├── fft_ip.(244).cnf.hdb
│ ├── fft_ip.(245).cnf.cdb
│ ├── fft_ip.(245).cnf.hdb
│ ├── fft_ip.(246).cnf.cdb
│ ├── fft_ip.(246).cnf.hdb
│ ├── fft_ip.(247).cnf.cdb
│ ├── fft_ip.(247).cnf.hdb
│ ├── fft_ip.(248).cnf.cdb
│ ├── fft_ip.(248).cnf.hdb
│ ├── fft_ip.(249).cnf.cdb
│ ├── fft_ip.(249).cnf.hdb
│ ├── fft_ip.(24).cnf.cdb
│ ├── fft_ip.(24).cnf.hdb
│ ├── fft_ip.(250).cnf.cdb
│ ├── fft_ip.(250).cnf.hdb
│ ├── fft_ip.(251).cnf.cdb
│ ├── fft_ip.(251).cnf.hdb
│ ├── fft_ip.(252).cnf.cdb
│ ├── fft_ip.(252).cnf.hdb
│ ├── fft_ip.(253).cnf.cdb
│ ├── fft_ip.(253).cnf.hdb
│ ├── fft_ip.(254).cnf.cdb
│ ├── fft_ip.(254).cnf.hdb
│ ├── fft_ip.(255).cnf.cdb
│ ├── fft_ip.(255).cnf.hdb
│ ├── fft_ip.(256).cnf.cdb
│ ├── fft_ip.(256).cnf.hdb
│ ├── fft_ip.(257).cnf.cdb
│ ├── fft_ip.(257).cnf.hdb
│ ├── fft_ip.(258).cnf.cdb
│ ├── fft_ip.(258).cnf.hdb
│ ├── fft_ip.(259).cnf.cdb
│ ├── fft_ip.(259).cnf.hdb
│ ├── fft_ip.(25).cnf.cdb
│ ├── fft_ip.(25).cnf.hdb
│ ├── fft_ip.(260).cnf.cdb
│ ├── fft_ip.(260).cnf.hdb
│ ├── fft_ip.(261).cnf.cdb
│ ├── fft_ip.(261).cnf.hdb
│ ├── fft_ip.(262).cnf.cdb
│ ├── fft_ip.(262).cnf.hdb
│ ├── fft_ip.(263).cnf.cdb
│ ├── fft_ip.(263).cnf.hdb
│ ├── fft_ip.(264).cnf.cdb
│ ├── fft_ip.(264).cnf.hdb
│ ├── fft_ip.(265).cnf.cdb
│ ├── fft_ip.(265).cnf.hdb
│ ├── fft_ip.(266).cnf.cdb
│ ├── fft_ip.(266).cnf.hdb
│ ├── fft_ip.(267).cnf.cdb
│ ├── fft_ip.(267).cnf.hdb
│ ├── fft_ip.(268).cnf.cdb
│ ├── fft_ip.(268).cnf.hdb
│ ├── fft_ip.(269).cnf.cdb
│ ├── fft_ip.(269).cnf.hdb
│ ├── fft_ip.(26).cnf.cdb
│ ├── fft_ip.(26).cnf.hdb
│ ├── fft_ip.(270).cnf.cdb
│ ├── fft_ip.(270).cnf.hdb
│ ├── fft_ip.(271).cnf.cdb
│ ├── fft_ip.(271).cnf.hdb
│ ├── fft_ip.(272).cnf.cdb
│ ├── fft_ip.(272).cnf.hdb
│ ├── fft_ip.(273).cnf.cdb
│ ├── fft_ip.(273).cnf.hdb
│ ├── fft_ip.(274).cnf.cdb
│ ├── fft_ip.(274).cnf.hdb
│ ├── fft_ip.(275).cnf.cdb
│ ├── fft_ip.(275).cnf.hdb
│ ├── fft_ip.(276).cnf.cdb
│ ├── fft_ip.(276).cnf.hdb
│ ├── fft_ip.(277).cnf.cdb
│ ├── fft_ip.(277).cnf.hdb
│ ├── fft_ip.(278).cnf.cdb
│ ├── fft_ip.(278).cnf.hdb
│ ├── fft_ip.(279).cnf.cdb
│ ├── fft_ip.(279).cnf.hdb
│ ├── fft_ip.(27).cnf.cdb
│ ├── fft_ip.(27).cnf.hdb
│ ├── fft_ip.(280).cnf.cdb
│ ├── fft_ip.(280).cnf.hdb
│ ├── fft_ip.(281).cnf.cdb
│ ├── fft_ip.(281).cnf.hdb
│ ├── fft_ip.(282).cnf.cdb
│ ├── fft_ip.(282).cnf.hdb
│ ├── fft_ip.(283).cnf.cdb
│ ├── fft_ip.(283).cnf.hdb
│ ├── fft_ip.(284).cnf.cdb
│ ├── fft_ip.(284).cnf.hdb
│ ├── fft_ip.(285).cnf.cdb
│ ├── fft_ip.(285).cnf.hdb
│ ├── fft_ip.(286).cnf.cdb
│ ├── fft_ip.(286).cnf.hdb
│ ├── fft_ip.(287).cnf.cdb
│ ├── fft_ip.(287).cnf.hdb
│ ├── fft_ip.(288).cnf.cdb
│ ├── fft_ip.(288).cnf.hdb
│ ├── fft_ip.(289).cnf.cdb
│ ├── fft_ip.(289).cnf.hdb
│ ├── fft_ip.(28).cnf.cdb
│ ├── fft_ip.(28).cnf.hdb
│ ├── fft_ip.(290).cnf.cdb
│ ├── fft_ip.(290).cnf.hdb
│ ├── fft_ip.(291).cnf.cdb
│ ├── fft_ip.(291).cnf.hdb
│ ├── fft_ip.(292).cnf.cdb
│ ├── fft_ip.(292).cnf.hdb
│ ├── fft_ip.(293).cnf.cdb
│ ├── fft_ip.(293).cnf.hdb
│ ├── fft_ip.(294).cnf.cdb
│ ├── fft_ip.(294).cnf.hdb
│ ├── fft_ip.(295).cnf.cdb
│ ├── fft_ip.(295).cnf.hdb
│ ├── fft_ip.(296).cnf.cdb
│ ├── fft_ip.(296).cnf.hdb
│ ├── fft_ip.(297).cnf.cdb
│ ├── fft_ip.(297).cnf.hdb
│ ├── fft_ip.(298).cnf.cdb
│ ├── fft_ip.(298).cnf.hdb
│ ├── fft_ip.(299).cnf.cdb
│ ├── fft_ip.(299).cnf.hdb
│ ├── fft_ip.(29).cnf.cdb
│ ├── fft_ip.(29).cnf.hdb
│ ├── fft_ip.(2).cnf.cdb
│ ├── fft_ip.(2).cnf.hdb
│ ├── fft_ip.(300).cnf.cdb
│ ├── fft_ip.(300).cnf.hdb
│ ├── fft_ip.(301).cnf.cdb
│ ├── fft_ip.(301).cnf.hdb
│ ├── fft_ip.(302).cnf.cdb
│ ├── fft_ip.(302).cnf.hdb
│ ├── fft_ip.(303).cnf.cdb
│ ├── fft_ip.(303).cnf.hdb
│ ├── fft_ip.(304).cnf.cdb
│ ├── fft_ip.(304).cnf.hdb
│ ├── fft_ip.(305).cnf.cdb
│ ├── fft_ip.(305).cnf.hdb
│ ├── fft_ip.(306).cnf.cdb
│ ├── fft_ip.(306).cnf.hdb
│ ├── fft_ip.(307).cnf.cdb
│ ├── fft_ip.(307).cnf.hdb
│ ├── fft_ip.(308).cnf.cdb
│ ├── fft_ip.(308).cnf.hdb
│ ├── fft_ip.(309).cnf.cdb
│ ├── fft_ip.(309).cnf.hdb
│ ├── fft_ip.(30).cnf.cdb
│ ├── fft_ip.(30).cnf.hdb
│ ├── fft_ip.(310).cnf.cdb
│ ├── fft_ip.(310).cnf.hdb
│ ├── fft_ip.(311).cnf.cdb
│ ├── fft_ip.(311).cnf.hdb
│ ├── fft_ip.(312).cnf.cdb
│ ├── fft_ip.(312).cnf.hdb
│ ├── fft_ip.(313).cnf.cdb
│ ├── fft_ip.(313).cnf.hdb
│ ├── fft_ip.(314).cnf.cdb
│ ├── fft_ip.(314).cnf.hdb
│ ├── fft_ip.(315).cnf.cdb
│ ├── fft_ip.(315).cnf.hdb
│ ├── fft_ip.(316).cnf.cdb
│ ├── fft_ip.(316).cnf.hdb
│ ├── fft_ip.(317).cnf.cdb
│ ├── fft_ip.(317).cnf.hdb
│ ├── fft_ip.(318).cnf.cdb
│ ├── fft_ip.(318).cnf.hdb
│ ├── fft_ip.(319).cnf.cdb
│ ├── fft_ip.(319).cnf.hdb
│ ├── fft_ip.(31).cnf.cdb
│ ├── fft_ip.(31).cnf.hdb
│ ├── fft_ip.(320).cnf.cdb
│ ├── fft_ip.(320).cnf.hdb
│ ├── fft_ip.(321).cnf.cdb
│ ├── fft_ip.(321).cnf.hdb
│ ├── fft_ip.(322).cnf.cdb
│ ├── fft_ip.(322).cnf.hdb
│ ├── fft_ip.(323).cnf.cdb
│ ├── fft_ip.(323).cnf.hdb
│ ├── fft_ip.(324).cnf.cdb
│ ├── fft_ip.(324).cnf.hdb
│ ├── fft_ip.(325).cnf.cdb
│ ├── fft_ip.(325).cnf.hdb
│ ├── fft_ip.(326).cnf.cdb
│ ├── fft_ip.(326).cnf.hdb
│ ├── fft_ip.(327).cnf.cdb
│ ├── fft_ip.(327).cnf.hdb
│ ├── fft_ip.(328).cnf.cdb
│ ├── fft_ip.(328).cnf.hdb
│ ├── fft_ip.(329).cnf.cdb
│ ├── fft_ip.(329).cnf.hdb
│ ├── fft_ip.(32).cnf.cdb
│ ├── fft_ip.(32).cnf.hdb
│ ├── fft_ip.(330).cnf.cdb
│ ├── fft_ip.(330).cnf.hdb
│ ├── fft_ip.(331).cnf.cdb
│ ├── fft_ip.(331).cnf.hdb
│ ├── fft_ip.(332).cnf.cdb
│ ├── fft_ip.(332).cnf.hdb
│ ├── fft_ip.(333).cnf.cdb
│ ├── fft_ip.(333).cnf.hdb
│ ├── fft_ip.(334).cnf.cdb
│ ├── fft_ip.(334).cnf.hdb
│ ├── fft_ip.(335).cnf.cdb
│ ├── fft_ip.(335).cnf.hdb
│ ├── fft_ip.(336).cnf.cdb
│ ├── fft_ip.(336).cnf.hdb
│ ├── fft_ip.(337).cnf.cdb
│ ├── fft_ip.(337).cnf.hdb
│ ├── fft_ip.(338).cnf.cdb
│ ├── fft_ip.(338).cnf.hdb
│ ├── fft_ip.(339).cnf.cdb
│ ├── fft_ip.(339).cnf.hdb
│ ├── fft_ip.(33).cnf.cdb
│ ├── fft_ip.(33).cnf.hdb
│ ├── fft_ip.(340).cnf.cdb
│ ├── fft_ip.(340).cnf.hdb
│ ├── fft_ip.(341).cnf.cdb
│ ├── fft_ip.(341).cnf.hdb
│ ├── fft_ip.(342).cnf.cdb
│ ├── fft_ip.(342).cnf.hdb
│ ├── fft_ip.(343).cnf.cdb
│ ├── fft_ip.(343).cnf.hdb
│ ├── fft_ip.(344).cnf.cdb
│ ├── fft_ip.(344).cnf.hdb
│ ├── fft_ip.(345).cnf.cdb
│ ├── fft_ip.(345).cnf.hdb
│ ├── fft_ip.(346).cnf.cdb
│ ├── fft_ip.(346).cnf.hdb
│ ├── fft_ip.(347).cnf.cdb
│ ├── fft_ip.(347).cnf.hdb
│ ├── fft_ip.(348).cnf.cdb
│ ├── fft_ip.(348).cnf.hdb
│ ├── fft_ip.(349).cnf.cdb
│ ├── fft_ip.(349).cnf.hdb
│ ├── fft_ip.(34).cnf.cdb
│ ├── fft_ip.(34).cnf.hdb
│ ├── fft_ip.(350).cnf.cdb
│ ├── fft_ip.(350).cnf.hdb
│ ├── fft_ip.(351).cnf.cdb
│ ├── fft_ip.(351).cnf.hdb
│ ├── fft_ip.(352).cnf.cdb
│ ├── fft_ip.(352).cnf.hdb
│ ├── fft_ip.(353).cnf.cdb
│ ├── fft_ip.(353).cnf.hdb
│ ├── fft_ip.(354).cnf.cdb
│ ├── fft_ip.(354).cnf.hdb
│ ├── fft_ip.(355).cnf.cdb
│ ├── fft_ip.(355).cnf.hdb
│ ├── fft_ip.(356).cnf.cdb
│ ├── fft_ip.(356).cnf.hdb
│ ├── fft_ip.(357).cnf.cdb
│ ├── fft_ip.(357).cnf.hdb
│ ├── fft_ip.(358).cnf.cdb
│ ├── fft_ip.(358).cnf.hdb
│ ├── fft_ip.(359).cnf.cdb
│ ├── fft_ip.(359).cnf.hdb
│ ├── fft_ip.(35).cnf.cdb
│ ├── fft_ip.(35).cnf.hdb
│ ├── fft_ip.(360).cnf.cdb
│ ├── fft_ip.(360).cnf.hdb
│ ├── fft_ip.(361).cnf.cdb
│ ├── fft_ip.(361).cnf.hdb
│ ├── fft_ip.(362).cnf.cdb
│ ├── fft_ip.(362).cnf.hdb
│ ├── fft_ip.(363).cnf.cdb
│ ├── fft_ip.(363).cnf.hdb
│ ├── fft_ip.(364).cnf.cdb
│ ├── fft_ip.(364).cnf.hdb
│ ├── fft_ip.(365).cnf.cdb
│ ├── fft_ip.(365).cnf.hdb
│ ├── fft_ip.(366).cnf.cdb
│ ├── fft_ip.(366).cnf.hdb
│ ├── fft_ip.(367).cnf.cdb
│ ├── fft_ip.(367).cnf.hdb
│ ├── fft_ip.(368).cnf.cdb
│ ├── fft_ip.(368).cnf.hdb
│ ├── fft_ip.(369).cnf.cdb
│ ├── fft_ip.(369).cnf.hdb
│ ├── fft_ip.(36).cnf.cdb
│ ├── fft_ip.(36).cnf.hdb
│ ├── fft_ip.(370).cnf.cdb
│ ├── fft_ip.(370).cnf.hdb
│ ├── fft_ip.(371).cnf.cdb
│ ├── fft_ip.(371).cnf.hdb
│ ├── fft_ip.(372).cnf.cdb
│ ├── fft_ip.(372).cnf.hdb
│ ├── fft_ip.(373).cnf.cdb
│ ├── fft_ip.(373).cnf.hdb
│ ├── fft_ip.(374).cnf.cdb
│ ├── fft_ip.(374).cnf.hdb
│ ├── fft_ip.(375).cnf.cdb
│ ├── fft_ip.(375).cnf.hdb
│ ├── fft_ip.(376).cnf.cdb
│ ├── fft_ip.(376).cnf.hdb
│ ├── fft_ip.(377).cnf.cdb
│ ├── fft_ip.(377).cnf.hdb
│ ├── fft_ip.(378).cnf.cdb
│ ├── fft_ip.(378).cnf.hdb
│ ├── fft_ip.(379).cnf.cdb
│ ├── fft_ip.(379).cnf.hdb
│ ├── fft_ip.(37).cnf.cdb
│ ├── fft_ip.(37).cnf.hdb
│ ├── fft_ip.(380).cnf.cdb
│ ├── fft_ip.(380).cnf.hdb
│ ├── fft_ip.(381).cnf.cdb
│ ├── fft_ip.(381).cnf.hdb
│ ├── fft_ip.(382).cnf.cdb
│ ├── fft_ip.(382).cnf.hdb
│ ├── fft_ip.(383).cnf.cdb
│ ├── fft_ip.(383).cnf.hdb
│ ├── fft_ip.(384).cnf.cdb
│ ├── fft_ip.(384).cnf.hdb
│ ├── fft_ip.(385).cnf.cdb
│ ├── fft_ip.(385).cnf.hdb
│ ├── fft_ip.(386).cnf.cdb
│ ├── fft_ip.(386).cnf.hdb
│ ├── fft_ip.(387).cnf.cdb
│ ├── fft_ip.(387).cnf.hdb
│ ├── fft_ip.(388).cnf.cdb
│ ├── fft_ip.(388).cnf.hdb
│ ├── fft_ip.(389).cnf.cdb
│ ├── fft_ip.(389).cnf.hdb
│ ├── fft_ip.(38).cnf.cdb
│ ├── fft_ip.(38).cnf.hdb
│ ├── fft_ip.(390).cnf.cdb
│ ├── fft_ip.(390).cnf.hdb
│ ├── fft_ip.(391).cnf.cdb
│ ├── fft_ip.(391).cnf.hdb
│ ├── fft_ip.(392).cnf.cdb
│ ├── fft_ip.(392).cnf.hdb
│ ├── fft_ip.(393).cnf.cdb
│ ├── fft_ip.(393).cnf.hdb
│ ├── fft_ip.(394).cnf.cdb
│ ├── fft_ip.(394).cnf.hdb
│ ├── fft_ip.(395).cnf.cdb
│ ├── fft_ip.(395).cnf.hdb
│ ├── fft_ip.(396).cnf.cdb
│ ├── fft_ip.(396).cnf.hdb
│ ├── fft_ip.(397).cnf.cdb
│ ├── fft_ip.(397).cnf.hdb
│ ├── fft_ip.(398).cnf.cdb
│ ├── fft_ip.(398).cnf.hdb
│ ├── fft_ip.(399).cnf.cdb
│ ├── fft_ip.(399).cnf.hdb
│ ├── fft_ip.(39).cnf.cdb
│ ├── fft_ip.(39).cnf.hdb
│ ├── fft_ip.(3).cnf.cdb
│ ├── fft_ip.(3).cnf.hdb
│ ├── fft_ip.(400).cnf.cdb
│ ├── fft_ip.(400).cnf.hdb
│ ├── fft_ip.(401).cnf.cdb
│ ├── fft_ip.(401).cnf.hdb
│ ├── fft_ip.(402).cnf.cdb
│ ├── fft_ip.(402).cnf.hdb
│ ├── fft_ip.(403).cnf.cdb
│ ├── fft_ip.(403).cnf.hdb
│ ├── fft_ip.(404).cnf.cdb
│ ├── fft_ip.(404).cnf.hdb
│ ├── fft_ip.(405).cnf.cdb
│ ├── fft_ip.(405).cnf.hdb
│ ├── fft_ip.(406).cnf.cdb
│ ├── fft_ip.(406).cnf.hdb
│ ├── fft_ip.(407).cnf.cdb
│ ├── fft_ip.(407).cnf.hdb
│ ├── fft_ip.(408).cnf.cdb
│ ├── fft_ip.(408).cnf.hdb
│ ├── fft_ip.(409).cnf.cdb
│ ├── fft_ip.(409).cnf.hdb
│ ├── fft_ip.(40).cnf.cdb
│ ├── fft_ip.(40).cnf.hdb
│ ├── fft_ip.(410).cnf.cdb
│ ├── fft_ip.(410).cnf.hdb
│ ├── fft_ip.(411).cnf.cdb
│ ├── fft_ip.(411).cnf.hdb
│ ├── fft_ip.(412).cnf.cdb
│ ├── fft_ip.(412).cnf.hdb
│ ├── fft_ip.(41).cnf.cdb
│ ├── fft_ip.(41).cnf.hdb
│ ├── fft_ip.(42).cnf.cdb
│ ├── fft_ip.(42).cnf.hdb
│ ├── fft_ip.(43).cnf.cdb
│ ├── fft_ip.(43).cnf.hdb
│ ├── fft_ip.(44).cnf.cdb
│ ├── fft_ip.(44).cnf.hdb
│ ├── fft_ip.(45).cnf.cdb
│ ├── fft_ip.(45).cnf.hdb
│ ├── fft_ip.(46).cnf.cdb
│ ├── fft_ip.(46).cnf.hdb
│ ├── fft_ip.(47).cnf.cdb
│ ├── fft_ip.(47).cnf.hdb
│ ├── fft_ip.(48).cnf.cdb
│ ├── fft_ip.(48).cnf.hdb
│ ├── fft_ip.(49).cnf.cdb
│ ├── fft_ip.(49).cnf.hdb
│ ├── fft_ip.(4).cnf.cdb
│ ├── fft_ip.(4).cnf.hdb
│ ├── fft_ip.(50).cnf.cdb
│ ├── fft_ip.(50).cnf.hdb
│ ├── fft_ip.(51).cnf.cdb
│ ├── fft_ip.(51).cnf.hdb
│ ├── fft_ip.(52).cnf.cdb
│ ├── fft_ip.(52).cnf.hdb
│ ├── fft_ip.(53).cnf.cdb
│ ├── fft_ip.(53).cnf.hdb
│ ├── fft_ip.(54).cnf.cdb
│ ├── fft_ip.(54).cnf.hdb
│ ├── fft_ip.(55).cnf.cdb
│ ├── fft_ip.(55).cnf.hdb
│ ├── fft_ip.(56).cnf.cdb
│ ├── fft_ip.(56).cnf.hdb
│ ├── fft_ip.(57).cnf.cdb
│ ├── fft_ip.(57).cnf.hdb
│ ├── fft_ip.(58).cnf.cdb
│ ├── fft_ip.(58).cnf.hdb
│ ├── fft_ip.(59).cnf.cdb
│ ├── fft_ip.(59).cnf.hdb
│ ├── fft_ip.(5).cnf.cdb
│ ├── fft_ip.(5).cnf.hdb
│ ├── fft_ip.(60).cnf.cdb
│ ├── fft_ip.(60).cnf.hdb
│ ├── fft_ip.(61).cnf.cdb
│ ├── fft_ip.(61).cnf.hdb
│ ├── fft_ip.(62).cnf.cdb
│ ├── fft_ip.(62).cnf.hdb
│ ├── fft_ip.(63).cnf.cdb
│ ├── fft_ip.(63).cnf.hdb
│ ├── fft_ip.(64).cnf.cdb
│ ├── fft_ip.(64).cnf.hdb
│ ├── fft_ip.(65).cnf.cdb
│ ├── fft_ip.(65).cnf.hdb
│ ├── fft_ip.(66).cnf.cdb
│ ├── fft_ip.(66).cnf.hdb
│ ├── fft_ip.(67).cnf.cdb
│ ├── fft_ip.(67).cnf.hdb
│ ├── fft_ip.(68).cnf.cdb
│ ├── fft_ip.(68).cnf.hdb
│ ├── fft_ip.(69).cnf.cdb
│ ├── fft_ip.(69).cnf.hdb
│ ├── fft_ip.(6).cnf.cdb
│ ├── fft_ip.(6).cnf.hdb
│ ├── fft_ip.(70).cnf.cdb
│ ├── fft_ip.(70).cnf.hdb
│ ├── fft_ip.(71).cnf.cdb
│ ├── fft_ip.(71).cnf.hdb
│ ├── fft_ip.(72).cnf.cdb
│ ├── fft_ip.(72).cnf.hdb
│ ├── fft_ip.(73).cnf.cdb
│ ├── fft_ip.(73).cnf.hdb
│ ├── fft_ip.(74).cnf.cdb
│ ├── fft_ip.(74).cnf.hdb
│ ├── fft_ip.(75).cnf.cdb
│ ├── fft_ip.(75).cnf.hdb
│ ├── fft_ip.(76).cnf.cdb
│ ├── fft_ip.(76).cnf.hdb
│ ├── fft_ip.(77).cnf.cdb
│ ├── fft_ip.(77).cnf.hdb
│ ├── fft_ip.(78).cnf.cdb
│ ├── fft_ip.(78).cnf.hdb
│ ├── fft_ip.(79).cnf.cdb
│ ├── fft_ip.(79).cnf.hdb
│ ├── fft_ip.(7).cnf.cdb
│ ├── fft_ip.(7).cnf.hdb
│ ├── fft_ip.(80).cnf.cdb
│ ├── fft_ip.(80).cnf.hdb
│ ├── fft_ip.(81).cnf.cdb
│ ├── fft_ip.(81).cnf.hdb
│ ├── fft_ip.(82).cnf.cdb
│ ├── fft_ip.(82).cnf.hdb
│ ├── fft_ip.(83).cnf.cdb
│ ├── fft_ip.(83).cnf.hdb
│ ├── fft_ip.(84).cnf.cdb
│ ├── fft_ip.(84).cnf.hdb
│ ├── fft_ip.(85).cnf.cdb
│ ├── fft_ip.(85).cnf.hdb
│ ├── fft_ip.(86).cnf.cdb
│ ├── fft_ip.(86).cnf.hdb
│ ├── fft_ip.(87).cnf.cdb
│ ├── fft_ip.(87).cnf.hdb
│ ├── fft_ip.(88).cnf.cdb
│ ├── fft_ip.(88).cnf.hdb
│ ├── fft_ip.(89).cnf.cdb
│ ├── fft_ip.(89).cnf.hdb
│ ├── fft_ip.(8).cnf.cdb
│ ├── fft_ip.(8).cnf.hdb
│ ├── fft_ip.(90).cnf.cdb
│ ├── fft_ip.(90).cnf.hdb
│ ├── fft_ip.(91).cnf.cdb
│ ├── fft_ip.(91).cnf.hdb
│ ├── fft_ip.(92).cnf.cdb
│ ├── fft_ip.(92).cnf.hdb
│ ├── fft_ip.(93).cnf.cdb
│ ├── fft_ip.(93).cnf.hdb
│ ├── fft_ip.(94).cnf.cdb
│ ├── fft_ip.(94).cnf.hdb
│ ├── fft_ip.(95).cnf.cdb
│ ├── fft_ip.(95).cnf.hdb
│ ├── fft_ip.(96).cnf.cdb
│ ├── fft_ip.(96).cnf.hdb
│ ├── fft_ip.(97).cnf.cdb
│ ├── fft_ip.(97).cnf.hdb
│ ├── fft_ip.(98).cnf.cdb
│ ├── fft_ip.(98).cnf.hdb
│ ├── fft_ip.(99).cnf.cdb
│ ├── fft_ip.(99).cnf.hdb
│ ├── fft_ip.(9).cnf.cdb
│ ├── fft_ip.(9).cnf.hdb
│ ├── fft_ip.asm_labs.ddb
│ ├── fft_ip.asm.qmsg
│ ├── fft_ip.asm.rdb
│ ├── fft_ip.autoh_e40e1.map.reg_db.cdb
│ ├── fft_ip.cmp.bpm
│ ├── fft_ip.cmp.cdb
│ ├── fft_ip.cmp.hdb
│ ├── fft_ip.cmp.idb
│ ├── fft_ip.cmp.logdb
│ ├── fft_ip.cmp_merge.kpt
│ ├── fft_ip.cmp.rdb
│ ├── fft_ip.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│ ├── fft_ip.cycloneive_io_sim_cache.45um_ff_1200mv_n40c_fast.hsd
│ ├── fft_ip.cycloneive_io_sim_cache.45um_ii_1200mv_125c_slow.hsd
│ ├── fft_ip.cycloneive_io_sim_cache.45um_ii_1200mv_85c_slow.hsd
│ ├── fft_ip.cycloneive_io_sim_cache.45um_ii_1200mv_n40c_slow.hsd
│ ├── fft_ip.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│ ├── fft_ip.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│ ├── fft_ip.db_info
│ ├── fft_ip.eda.qmsg
│ ├── fft_ip.fit.qmsg
│ ├── fft_ip.hier_info
│ ├── fft_ip.hif
│ ├── fft_ip.logic_util_heuristic.dat
│ ├── fft_ip.lpc.html
│ ├── fft_ip.lpc.rdb
│ ├── fft_ip.lpc.txt
│ ├── fft_ip.map.ammdb
│ ├── fft_ip.map_bb.cdb
│ ├── fft_ip.map_bb.hdb
│ ├── fft_ip.map_bb.logdb
│ ├── fft_ip.map.bpm
│ ├── fft_ip.map.cdb
│ ├── fft_ip.map.hdb
│ ├── fft_ip.map.kpt
│ ├── fft_ip.map.logdb
│ ├── fft_ip.map.qmsg
│ ├── fft_ip.map.rdb
│ ├── fft_ip.nabbo_fd801.map.reg_db.cdb
│ ├── fft_ip.pplq.rdb
│ ├── fft_ip.pre_map.hdb
│ ├── fft_ip.pti_db_list.ddb
│ ├── fft_ip.root_partition.map.reg_db.cdb
│ ├── fft_ip.routing.rdb
│ ├── fft_ip.rtlv.hdb
│ ├── fft_ip.rtlv_sg.cdb
│ ├── fft_ip.rtlv_sg_swap.cdb
│ ├── fft_ip.sld_design_entry_dsc.sci
│ ├── fft_ip.sld_design_entry.sci
│ ├── fft_ip.smart_action.txt
│ ├── fft_ip.smp_dump.txt
│ ├── fft_ip.sta_cmp.8_slow_1200mv_85c.tdb
│ ├── fft_ip.sta.qmsg
│ ├── fft_ip.sta.rdb
│ ├── fft_ip.taw.rdb
│ ├── fft_ip.tiscmp.fast_1200mv_0c.ddb
│ ├── fft_ip.tiscmp.fastest_slow_1200mv_0c.ddb
│ ├── fft_ip.tiscmp.fastest_slow_1200mv_85c.ddb
│ ├── fft_ip.tiscmp.slow_1200mv_0c.ddb
│ ├── fft_ip.tiscmp.slow_1200mv_85c.ddb
│ ├── fft_ip.tis_db_list.ddb
│ ├── fft_ip.tmw_info
│ ├── fft_ip.vpr.ammdb
│ ├── ip
│ │ └── sld61f8f712
│ │ ├── alt_sld_fab.qip
│ │ ├── alt_sld_fab__report.html
│ │ ├── alt_sld_fab__report.xml
│ │ ├── alt_sld_fab.sopcinfo
│ │ ├── alt_sld_fab__talkback.xml
│ │ ├── alt_sld_fab.v
│ │ ├── alt_sld_fab_wrapper_hw.tcl
│ │ └── submodules
│ │ ├── alt_sld_fab_alt_sld_fab_ident.sv
│ │ ├── alt_sld_fab_alt_sld_fab_presplit.sv
│ │ ├── alt_sld_fab_alt_sld_fab_sldfabric.vhd
│ │ ├── alt_sld_fab_alt_sld_fab_splitter.sv
│ │ └── alt_sld_fab_alt_sld_fab.v
│ ├── mult_add_3l6g.tdf
│ ├── mult_add_4m6g.tdf
│ ├── prev_cmp_fft_ip.qmsg
│ └── scfifo_udh1.tdf
├── eetop.cn_Quartus_II_15.0破解器_Windows版_密码12345
│ ├── Quartus_II_15.0破解器_Windows版_密码12345
│ │ ├── Altera_software_15.0_Windows版_下载链接.txt
│ │ ├── license.dat
│ │ ├── Quartus_II_15.0破解器.exe
│ │ ├── 关于Altera加密算法的改变历史.txt
│ │ ├── 关于IP的破解.txt
│ │ └── 读我.txt
│ └── Quartus_II_15.0破解器_Windows版_密码12345.rar
├── eetop.cn_Quartus_II_15.0破解器_Windows版_密码12345.zip
├── fft
│ ├── fft_bb.v
│ ├── fft.bsf
│ ├── fft.cmp
│ ├── fft.csv
│ ├── fft_generation.rpt
│ ├── fft.html
│ ├── fft_inst.v
│ ├── fft_inst.vhd
│ ├── fft.ppf
│ ├── fft.spd
│ ├── fft.xml
│ ├── simulation
│ │ ├── aldec
│ │ │ └── rivierapro_setup.tcl
│ │ ├── cadence
│ │ │ ├── cds.lib
│ │ │ ├── cds_libs
│ │ │ │ └── fft_ii_0.cds.lib
│ │ │ ├── hdl.var
│ │ │ └── ncsim_setup.sh
│ │ ├── fft.sip
│ │ ├── fft.v
│ │ ├── mentor
│ │ │ └── msim_setup.tcl
│ │ ├── submodules
│ │ │ ├── fft_fft_ii_0_1n512cos.hex
│ │ │ ├── fft_fft_ii_0_1n512sin.hex
│ │ │ ├── fft_fft_ii_0_2n512cos.hex
│ │ │ ├── fft_fft_ii_0_2n512sin.hex
│ │ │ ├── fft_fft_ii_0_3n512cos.hex
│ │ │ ├── fft_fft_ii_0_3n512sin.hex
│ │ │ └── fft_fft_ii_0.vo
│ │ └── synopsys
│ │ ├── vcs
│ │ │ └── vcs_setup.sh
│ │ └── vcsmx
│ │ ├── synopsys_sim.setup
│ │ └── vcsmx_setup.sh
│ └── synthesis
│ ├── fft.debuginfo
│ ├── fft.qip
│ ├── fft.v
│ └── submodules
│ ├── altera_fft_dual_port_ram.vhd
│ ├── altera_fft_dual_port_rom.vhd
│ ├── altera_fft_mult_add.vhd
│ ├── altera_fft_single_port_rom.vhd
│ ├── apn_fft_cmult_cpx2.vhd
│ ├── apn_fft_cmult_cpx.vhd
│ ├── apn_fft_mult_can.vhd
│ ├── apn_fft_mult_cpx_1825.v
│ ├── apn_fft_mult_cpx.vhd
│ ├── asj_fft_1dp_ram.vhd
│ ├── asj_fft_1tdp_rom.vhd
│ ├── asj_fft_3dp_rom.vhd
│ ├── asj_fft_3pi_mram.vhd
│ ├── asj_fft_3tdp_rom.vhd
│ ├── asj_fft_4dp_ram.vhd
│ ├── asj_fft_6tdp_rom.vhd
│ ├── asj_fft_alt_shift_tdl.vhd
│ ├── asj_fft_bfp_ctrl.vhd
│ ├── asj_fft_bfp_i_1pt.vhd
│ ├── asj_fft_bfp_i.vhd
│ ├── asj_fft_bfp_o_1pt.vhd
│ ├── asj_fft_bfp_o.vhd
│ ├── asj_fft_burst_ctrl_de.vhd
│ ├── asj_fft_burst_ctrl_qe.vhd
│ ├── asj_fft_burst_ctrl.vhd
│ ├── asj_fft_cmult_can.vhd
│ ├── asj_fft_cmult_std.vhd
│ ├── asj_fft_cnt_ctrl_de.vhd
│ ├── asj_fft_cnt_ctrl.vhd
│ ├── asj_fft_cxb_addr.vhd
│ ├── asj_fft_cxb_data_mram.vhd
│ ├── asj_fft_cxb_data_r.vhd
│ ├── asj_fft_cxb_data.vhd
│ ├── asj_fft_dataadgen.vhd
│ ├── asj_fft_data_ram_dp.vhd
│ ├── asj_fft_data_ram.vhd
│ ├── asj_fft_dft_bfp_sgl.vhd
│ ├── asj_fft_dft_bfp.vhd
│ ├── asj_fft_dpi_mram.vhd
│ ├── asj_fft_dp_mram.vhd
│ ├── asj_fft_dualstream.ocp
│ ├── asj_fft_dualstream.vhd
│ ├── asj_fft_in_write_sgl.vhd
│ ├── asj_fft_lcm_mult_2m.vhd
│ ├── asj_fft_lcm_mult.vhd
│ ├── asj_fft_lpprdadgen.vhd
│ ├── asj_fft_lpprdadr2gen.vhd
│ ├── asj_fft_lpp_serial_r2.vhd
│ ├── asj_fft_lpp_serial.vhd
│ ├── asj_fft_lpp.vhd
│ ├── asj_fft_m_k_counter.vhd
│ ├── asj_fft_mult_add.vhd
│ ├── asj_fft_pround.vhd
│ ├── asj_fft_sglstream.ocp
│ ├── asj_fft_sglstream.vhd
│ ├── asj_fft_si_de_so_bb.ocp
│ ├── asj_fft_si_de_so_bb.vhd
│ ├── asj_fft_si_de_so_b.ocp
│ ├── asj_fft_si_de_so_b.vhd
│ ├── asj_fft_si_qe_so_bb.ocp
│ ├── asj_fft_si_qe_so_bb.vhd
│ ├── asj_fft_si_qe_so_b.ocp
│ ├── asj_fft_si_qe_so_b.vhd
│ ├── asj_fft_si_se_so_bb.ocp
│ ├── asj_fft_si_se_so_bb.vhd
│ ├── asj_fft_si_se_so_b.ocp
│ ├── asj_fft_si_se_so_b.vhd
│ ├── asj_fft_si_so_se_so_b.ocp
│ ├── asj_fft_si_sose_so_b.ocp
│ ├── asj_fft_si_sose_so_b.vhd
│ ├── asj_fft_tdl_bit_rst.vhd
│ ├── asj_fft_tdl_bit.vhd
│ ├── asj_fft_tdl_rst.vhd
│ ├── asj_fft_tdl.vhd
│ ├── asj_fft_twadgen_dual.vhd
│ ├── asj_fft_twadgen.vhd
│ ├── asj_fft_twadsogen_q.vhd
│ ├── asj_fft_twadsogen.vhd
│ ├── asj_fft_twiddle_ctrl_qe.vhd
│ ├── asj_fft_twid_rom_tdp.vhd
│ ├── asj_fft_unbburst_ctrl_de.vhd
│ ├── asj_fft_unbburst_ctrl_qe.vhd
│ ├── asj_fft_unbburst_ctrl.vhd
│ ├── asj_fft_unbburst_sose_ctrl.vhd
│ ├── asj_fft_wrengen.vhd
│ ├── asj_fft_wrswgen.vhd
│ ├── auk_dspip_avalon_streaming_block_sink.vhd
│ ├── auk_dspip_avalon_streaming_block_source.vhd
│ ├── auk_dspip_avalon_streaming_controller.vhd
│ ├── auk_dspip_avalon_streaming_sink.vhd
│ ├── auk_dspip_avalon_streaming_source.vhd
│ ├── auk_dspip_lib_pkg.vhd
│ ├── auk_dspip_math_pkg.vhd
│ ├── auk_dspip_roundsat.vhd
│ ├── auk_dspip_text_pkg.vhd
│ ├── auk_fft_pkg.vhd
│ ├── fft_fft_ii_0_1n512cos.hex
│ ├── fft_fft_ii_0_1n512sin.hex
│ ├── fft_fft_ii_0_2n512cos.hex
│ ├── fft_fft_ii_0_2n512sin.hex
│ ├── fft_fft_ii_0_3n512cos.hex
│ ├── fft_fft_ii_0_3n512sin.hex
│ ├── fft_fft_ii_0.sv
│ ├── fft_pack.vhd
│ └── twid_rom.vhd
├── fft_ip_nativelink_simulation.rpt
├── fft_ip.out.sdc
├── fft_ip.qpf
├── fft_ip.qsf
├── fft_ip.qws
├── fft_ip_test.v
├── fft_ip_test.v.bak
├── fft_ip.v
├── fft_ip.v.bak
├── fft.qsys
├── fft.sopcinfo
├── incremental_db
│ ├── compiled_partitions
│ │ ├── fft_ip.autoh_e40e1.cmp.ammdb
│ │ ├── fft_ip.autoh_e40e1.cmp.cdb
│ │ ├── fft_ip.autoh_e40e1.cmp.dfp
│ │ ├── fft_ip.autoh_e40e1.cmp.hdb
│ │ ├── fft_ip.autoh_e40e1.cmp.logdb
│ │ ├── fft_ip.autoh_e40e1.cmp.rcfdb
│ │ ├── fft_ip.autoh_e40e1.map.cdb
│ │ ├── fft_ip.autoh_e40e1.map.dpi
│ │ ├── fft_ip.autoh_e40e1.map.hdb
│ │ ├── fft_ip.autoh_e40e1.map.kpt
│ │ ├── fft_ip.autoh_e40e1.map.logdb
│ │ ├── fft_ip.db_info
│ │ ├── fft_ip.nabbo_fd801.map.cdb
│ │ ├── fft_ip.nabbo_fd801.map.dpi
│ │ ├── fft_ip.nabbo_fd801.map.hdb
│ │ ├── fft_ip.nabbo_fd801.map.kpt
│ │ ├── fft_ip.nabbo_fd801.map.logdb
│ │ ├── fft_ip.root_partition.cmp.ammdb
│ │ ├── fft_ip.root_partition.cmp.cdb
│ │ ├── fft_ip.root_partition.cmp.dfp
│ │ ├── fft_ip.root_partition.cmp.hdb
│ │ ├── fft_ip.root_partition.cmp.logdb
│ │ ├── fft_ip.root_partition.cmp.rcfdb
│ │ ├── fft_ip.root_partition.map.cdb
│ │ ├── fft_ip.root_partition.map.dpi
│ │ ├── fft_ip.root_partition.map.hbdb.cdb
│ │ ├── fft_ip.root_partition.map.hbdb.hb_info
│ │ ├── fft_ip.root_partition.map.hbdb.hdb
│ │ ├── fft_ip.root_partition.map.hbdb.sig
│ │ ├── fft_ip.root_partition.map.hdb
│ │ ├── fft_ip.root_partition.map.kpt
│ │ └── fft_ip.rrp.hdb
│ └── README
├── output_files
│ ├── fft_ip.asm.rpt
│ ├── fft_ip.done
│ ├── fft_ip.eda.rpt
│ ├── fft_ip.fit.rpt
│ ├── fft_ip.fit.smsg
│ ├── fft_ip.fit.summary
│ ├── fft_ip.flow.rpt
│ ├── fft_ip.jdi
│ ├── fft_ip.map.rpt
│ ├── fft_ip.map.smsg
│ ├── fft_ip.map.summary
│ ├── fft_ip.pin
│ ├── fft_ip.sld
│ ├── fft_ip.sof
│ ├── fft_ip.sta.rpt
│ ├── fft_ip.sta.summary
│ └── fft_ip_time_limited.sof
├── project library
│ ├── transcript
│ ├── verilog_libs
│ │ ├── altera_lnsim_ver
│ │ │ ├── altera_arriavgz_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_arriav_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_cyclonev_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_generic_pll_functions
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_lnsim_functions
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @a@l@t@e@r@a_@l@n@s@i@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_mult_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_mult_add_rtl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_pll_dps_lcell_comb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_pll_reconfig_tasks
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_stratixv_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_syncram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_adder_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_chainout_adder_accumulator_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_coef_reg_ext_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_data_split_reg_ext_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_dynamic_signed_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_latency_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_multiplier_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_preadder_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_register_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_register_with_ext_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_scanchain
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_signed_extension_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ama_systolic_adder_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── common_14nm_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── common_14nm_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── common_28nm_mlab_cell_core
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── common_28nm_mlab_cell_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── common_28nm_mlab_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── common_28nm_ram_block
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── common_28nm_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── common_28nm_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── common_porta_latches
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── common_porta_registers
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dprio_init
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dps_extra_kick
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dps_pulse_gen
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── fourteennm_m20k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── generic_28nm_hp_mlab_cell_impl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── generic_28nm_lc_mlab_cell_impl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── generic_cdr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── generic_device_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── generic_m10k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── generic_m20k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── generic_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── generic_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── _info
│ │ │ ├── nadder_m20k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── twentynm_iopll_ip
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ ├── altera_mf_ver
│ │ │ ├── a_graycounter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt3pram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altaccumulate
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_aeq_s4
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal_av
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal_c3gxb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal_mm
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal_sv
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altclklock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altddio_bidir
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altddio_in
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altddio_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_dfe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altdpram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_std_synchronizer
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_std_synchronizer_bundle
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_syncram_derived
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_eyemon
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altfp_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altlvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altlvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altmult_accum
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altmult_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altparallel_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altpll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altserial_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altshift_taps
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsource_probe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsqrt
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsquare
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altstratixii_oct
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsyncram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsyncram_body
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── arm_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── arm_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── arm_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cda_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cda_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cda_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiiigl_post_divider
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_async
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_low_latency
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_mixed_widths
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_sync
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dffp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dummy_hub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── flexible_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── flexible_lvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── _info
│ │ │ ├── jtag_tap_controller
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lcell
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_stratixiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_stratixii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_stratix_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── parallel_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── pll_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── scfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── signal_gen
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── sld_signaltap
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── sld_virtual_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── sld_virtual_jtag_basic
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixgx_dpa_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixiii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixiii_lvds_rx_channel
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixiii_lvds_rx_dpa
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixii_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratix_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratix_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixv_local_clk_divider
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stx_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stx_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stx_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ttn_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ttn_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ttn_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ ├── altera_ver
│ │ │ ├── alt_bidir_buf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_bidir_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_inbuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_inbuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_iobuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_outbuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_outbuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_outbuf_tri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_outbuf_tri_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── carry
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── carry_sum
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cascade
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── clklock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dffea
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dffeas
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dlatch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── exp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── global
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── _info
│ │ │ ├── jkff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── jkffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lut_input
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lut_output
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── opndrn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── prim_gdff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @p@r@i@m_@g@d@f@f_@h@i@g@h
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @p@r@i@m_@g@d@f@f_@l@o@w
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── prim_gjkff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── prim_gsrff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── prim_gtff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── row_global
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── soft
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── srff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── srffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── tff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── tffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @t@r@i
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ ├── cycloneive_ver
│ │ │ ├── cycloneive_and1
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_and16
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_apfcontroller
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_asmiblock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_b17mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_b5mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_bmux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_clkctrl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_crcblock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_ddio_oe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_ddio_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_dffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_ena_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_io_ibuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_io_obuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_io_pad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_lcell_comb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_data_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_mult_internal
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_sign_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_mux41
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_nmux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_oscillator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e@a@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_pseudo_diff_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_ram_block
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_routing_wire
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_rublock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_termination
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_termination_ctrl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneive_termination_rupdn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── _info
│ │ │ └── _vmake
│ │ ├── lpm_ver
│ │ │ ├── _info
│ │ │ ├── lpm_abs
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_add_sub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_and
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_bipad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_bustri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_clshift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_compare
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_constant
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_counter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_decode
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_divide
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc_async
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_inpad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_inv
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_or
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_outpad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ram_dp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ram_dq
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ram_io
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_rom
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_shiftreg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_xor
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ └── sgate_ver
│ │ ├── _info
│ │ ├── io_buf_opdrn
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── io_buf_tri
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── mux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_add
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_addsub
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_bus_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_decoder
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_div
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_left_shift
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_less_than
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_mod
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_right_shift
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_rotate_left
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_rotate_right
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_selector
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── tri_bus
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ └── _vmake
│ └── vhdl_libs
│ ├── altera
│ │ ├── alt_bidir_buf
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_bidir_diff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_dspbuilder_package
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_europa_support_lib
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_primitives_components
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_standard_functions
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_syn_attributes
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_inbuf
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_inbuf_diff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_iobuf
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_iobuf_diff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf_diff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf_tri
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf_tri_diff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── carry
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── carry_sum
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cascade
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── clklock
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffe
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffea
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffeas
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_dffeas.dat
│ │ │ └── vital_dffeas.dbs
│ │ ├── dffeas_pack
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dlatch
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── exp
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── global
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── _info
│ │ ├── jkff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── jkffe
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── latch
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lut_input
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lut_output
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── opndrn
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gdff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gjkff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gsrff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gtff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── row_global
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── soft
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── srff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── srffe
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── tff
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── tffe
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── tri
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ └── _vmake
│ ├── altera_lnsim
│ │ ├── 12e5b03
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 15d22bc
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 18bccce
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 1cb0503
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 1d68b
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 2a5d02e
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 2f249d4
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 37675ae
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 3a23e5e
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 3a75f63
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 4e2ab05
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 535494
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 5efd07e
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 68afd2e
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 6f4876c
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 709a6e2
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 7164b58
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 73da6e2
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 7810ace
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 78e1dbe
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 797d66c
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 7e8dfe
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 865c0fe
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 8969d72
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 896a008
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 896a3ec
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 8e43a5e
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 95f0e7c
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 968299d
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 96a48eb
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 96a49eb
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 9d0d25b
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── 9dc9bec
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── a4e35cb
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── altera_lnsim_components
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── b672c43
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── bd39f53
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── be50d12
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── be64d12
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── c127fcb
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── c81e68c
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── cf86212
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── d391a3c
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── d630a3c
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── dd42db0
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── e14f98e
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── e5d4e9e
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── e6b27ec
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── e825dae
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── f1dc1b2
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── ff75ede
│ │ │ ├── _primary.dat
│ │ │ └── _primary.vhd
│ │ ├── _info
│ │ └── _vmake
│ ├── altera_mf
│ │ ├── a_graycounter
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt3pram
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altaccumulate
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_aeq_s4
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── trans.dat
│ │ │ └── trans.dbs
│ │ ├── alt_aeq_s4_func
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_cal
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.dat
│ │ │ └── rtl.dbs
│ │ ├── alt_cal_av
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.dat
│ │ │ └── rtl.dbs
│ │ ├── alt_cal_c3gxb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.dat
│ │ │ └── rtl.dbs
│ │ ├── alt_cal_mm
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.dat
│ │ │ └── rtl.dbs
│ │ ├── alt_cal_sv
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.dat
│ │ │ └── rtl.dbs
│ │ ├── altclklock
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altddio_bidir
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── struct.dat
│ │ │ └── struct.dbs
│ │ ├── altddio_in
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altddio_out
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_dfe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── trans.dat
│ │ │ └── trans.dbs
│ │ ├── alt_dfe_func
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altdpram
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_common_conversion
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_device_families
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_mf_components
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_mf_hint_evaluation
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_std_synchronizer
│ │ │ ├── behavioral.dat
│ │ │ ├── behavioral.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_std_synchronizer_bundle
│ │ │ ├── behavioral.dat
│ │ │ ├── behavioral.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_eyemon
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── trans.dat
│ │ │ └── trans.dbs
│ │ ├── alt_eyemon_func
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altfp_mult
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altlvds_rx
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altlvds_tx
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altmult_accum
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altmult_add
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altparallel_flash_loader
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altparallel_flash_loader.dat
│ │ │ └── sim_altparallel_flash_loader.dbs
│ │ ├── altpll
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altserial_flash_loader
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altserial_flash_loader.dat
│ │ │ └── sim_altserial_flash_loader.dbs
│ │ ├── altshift_taps
│ │ │ ├── behavioural.dat
│ │ │ ├── behavioural.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altsource_probe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altsource_probe.dat
│ │ │ └── sim_altsource_probe.dbs
│ │ ├── altsqrt
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altsquare
│ │ │ ├── altsquare_syn.dat
│ │ │ ├── altsquare_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altstratixii_oct
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altstratixii_oct.dat
│ │ │ └── sim_altstratixii_oct.dbs
│ │ ├── altsyncram
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── translated.dat
│ │ │ └── translated.dbs
│ │ ├── arm_m_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── arm_n_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── arm_scale_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_async
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_dffpipe
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_fefifo
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_low_latency
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_mixed_widths
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_sync
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffp
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dummy_hub
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── flexible_lvds_rx
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── flexible_lvds_tx
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── _info
│ │ ├── jtag_tap_controller
│ │ │ ├── fsm.dat
│ │ │ ├── fsm.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lcell
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_cda_mn_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_cda_scale_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_cycloneiiigl_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.dat
│ │ │ └── vital_pll.dbs
│ │ ├── mf_cycloneiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.dat
│ │ │ └── vital_pll.dbs
│ │ ├── mf_m_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_n_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_pllpack
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_pll_reg
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stingray_mn_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stingray_post_divider
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stingray_scale_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stratixiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.dat
│ │ │ └── vital_pll.dbs
│ │ ├── mf_stratixii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.dat
│ │ │ └── vital_pll.dbs
│ │ ├── mf_stratix_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.dat
│ │ │ └── vital_pll.dbs
│ │ ├── mf_ttn_mn_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_ttn_scale_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── parallel_add
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── pll_iobuf
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── scfifo
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── signal_gen
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── simmodel.dat
│ │ │ └── simmodel.dbs
│ │ ├── sld_node
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── sld_signaltap
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_sld_signaltap.dat
│ │ │ └── sim_sld_signaltap.dbs
│ │ ├── sld_virtual_jtag
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── structural.dat
│ │ │ └── structural.dbs
│ │ ├── sld_virtual_jtag_basic
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_sld_virtual_jtag_basic.dat
│ │ │ └── sim_sld_virtual_jtag_basic.dbs
│ │ ├── stratixiii_lvds_rx
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixiii_lvds_rx_channel
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixiii_lvds_rx_dpa
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixii_lvds_rx
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixii_tx_outclk
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratix_tx_outclk
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixv_local_clk_divider
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stx_scale_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ └── _vmake
│ ├── cycloneive
│ │ ├── cycloneive_and1
│ │ │ ├── altvital.dat
│ │ │ ├── altvital.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_apfcontroller
│ │ │ ├── architecture_apfcontroller.dat
│ │ │ ├── architecture_apfcontroller.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_asmiblock
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_atom_pack
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_clkctrl
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_clkctrl.dat
│ │ │ └── vital_clkctrl.dbs
│ │ ├── cycloneive_components
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_crcblock
│ │ │ ├── architecture_crcblock.dat
│ │ │ ├── architecture_crcblock.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ddio_oe
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ddio_out
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_dffe
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ena_reg
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_lcell_ff.dat
│ │ │ └── vital_lcell_ff.dbs
│ │ ├── cycloneive_io_ibuf
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_io_obuf
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_io_pad
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_jtag
│ │ │ ├── architecture_jtag.dat
│ │ │ ├── architecture_jtag.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_latch.dat
│ │ │ └── vital_latch.dbs
│ │ ├── cycloneive_lcell_comb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_lcell_comb.dat
│ │ │ └── vital_lcell_comb.dbs
│ │ ├── cycloneive_mac_data_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_data_reg.dat
│ │ │ └── vital_cycloneive_mac_data_reg.dbs
│ │ ├── cycloneive_mac_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_mult.dat
│ │ │ └── vital_cycloneive_mac_mult.dbs
│ │ ├── cycloneive_mac_mult_internal
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_mult_internal.dat
│ │ │ └── vital_cycloneive_mac_mult_internal.dbs
│ │ ├── cycloneive_mac_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_out.dat
│ │ │ └── vital_cycloneive_mac_out.dbs
│ │ ├── cycloneive_mac_sign_reg
│ │ │ ├── cycloneive_mac_sign_reg.dat
│ │ │ ├── cycloneive_mac_sign_reg.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_mn_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_mux21
│ │ │ ├── altvital.dat
│ │ │ ├── altvital.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_mux41
│ │ │ ├── altvital.dat
│ │ │ ├── altvital.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_oscillator
│ │ │ ├── architecture_oscillator.dat
│ │ │ ├── architecture_oscillator.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.dat
│ │ │ └── vital_pll.dbs
│ │ ├── cycloneive_pllpack
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_pll_reg
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_pseudo_diff_out
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ram_block
│ │ │ ├── block_arch.dat
│ │ │ ├── block_arch.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ram_pulse_generator
│ │ │ ├── pgen_arch.dat
│ │ │ ├── pgen_arch.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ram_register
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── reg_arch.dat
│ │ │ └── reg_arch.dbs
│ │ ├── cycloneive_routing_wire
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_rublock
│ │ │ ├── architecture_rublock.dat
│ │ │ ├── architecture_rublock.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_scale_cntr
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_termination
│ │ │ ├── cycloneive_termination_arch.dat
│ │ │ ├── cycloneive_termination_arch.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── _info
│ │ └── _vmake
│ ├── lpm
│ │ ├── _info
│ │ ├── lpm_abs
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_add_sub
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_add_sub_signed
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_add_sub_unsigned
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_and
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_bipad
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_bustri
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_clshift
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_common_conversion
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_compare
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_compare_signed
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_compare_unsigned
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_components
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_constant
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_counter
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_decode
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_device_families
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_divide
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ff
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc_async
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc_dffpipe
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc_fefifo
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_hint_evaluation
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_inpad
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_inv
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_latch
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_mult
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_mux
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_or
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_outpad
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ram_dp
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ram_dq
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ram_io
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_rom
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_shiftreg
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_xor
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ └── _vmake
│ └── sgate
│ ├── _info
│ ├── io_buf_opdrn
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── io_buf_tri
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── mux21
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_add
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_addsub
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_bus_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_decoder
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_div
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_latch
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_left_shift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_less_than
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_mod
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_mult
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_prio_selector
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_right_shift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_rotate_left
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_rotate_right
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── oper_selector
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ ├── sgate_pack
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── tri_bus
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.dat
│ │ └── sim_arch.dbs
│ └── _vmake
├── simulation
│ └── modelsim
│ ├── fft_ip_7_1200mv_125c_slow.vo
│ ├── fft_ip_7_1200mv_125c_v_slow.sdo
│ ├── fft_ip_7_1200mv_-40c_slow.vo
│ ├── fft_ip_7_1200mv_-40c_v_slow.sdo
│ ├── fft_ip_8_1200mv_0c_slow.vo
│ ├── fft_ip_8_1200mv_0c_v_slow.sdo
│ ├── fft_ip_8_1200mv_85c_slow.vo
│ ├── fft_ip_8_1200mv_85c_v_slow.sdo
│ ├── fft_ip_iputf_input
│ │ ├── aldec
│ │ │ ├── rivierapro_libs.txt
│ │ │ └── rivierapro_setup.tcl
│ │ ├── cadence
│ │ │ ├── ncsim_libs.txt
│ │ │ └── ncsim_setup.sh
│ │ ├── mentor
│ │ │ ├── msim_libs.txt
│ │ │ └── msim_setup.tcl
│ │ ├── nl_common.txt
│ │ └── synopsys
│ │ ├── vcs
│ │ │ ├── options_file.vcs
│ │ │ └── vcs_setup.sh
│ │ └── vcsmx
│ │ ├── vcsmx_libs.txt
│ │ └── vcsmx_setup.sh
│ ├── fft_ip_min_1200mv_0c_fast.vo
│ ├── fft_ip_min_1200mv_0c_v_fast.sdo
│ ├── fft_ip_min_1200mv_-40c_fast.vo
│ ├── fft_ip_min_1200mv_-40c_v_fast.sdo
│ ├── fft_ip_modelsim.xrf
│ ├── fft_ip_run_msim_rtl_verilog.do
│ ├── fft_ip.sft
│ ├── fft_ip.vo
│ ├── fft_ip_v.sdo
│ └── msim_transcript
├── wqlibrary
│ ├── transcript
│ ├── verilog_libs
│ │ ├── altera_lnsim_ver
│ │ │ ├── altera_arriavgz_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_arriav_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_cyclonev_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_generic_pll_functions
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_lnsim_functions
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @a@l@t@e@r@a_@l@n@s@i@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_mult_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_mult_add_rtl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_pll_dps_lcell_comb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_pll_reconfig_tasks
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_stratixv_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_syncram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_adder_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_chainout_adder_accumulator_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_coef_reg_ext_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_data_split_reg_ext_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_dynamic_signed_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_latency_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_multiplier_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_preadder_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_register_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_register_with_ext_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_scanchain
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_signed_extension_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_systolic_adder_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_14nm_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_14nm_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_mlab_cell_core
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_mlab_cell_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_mlab_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_ram_block
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_porta_latches
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_porta_registers
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dprio_init
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dps_extra_kick
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dps_pulse_gen
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── fourteennm_m20k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_28nm_hp_mlab_cell_impl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_28nm_lc_mlab_cell_impl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_cdr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_device_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_m10k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_m20k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── _info
│ │ │ ├── nadder_m20k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── twentynm_iopll_ip
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ └── _vmake
│ │ ├── altera_mf_ver
│ │ │ ├── a_graycounter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt3pram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altaccumulate
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_aeq_s4
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_cal
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_cal_av
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_cal_c3gxb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_cal_mm
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_cal_sv
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altclklock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altddio_bidir
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altddio_in
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altddio_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_dfe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altdpram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_std_synchronizer
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_std_synchronizer_bundle
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_syncram_derived
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_eyemon
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altfp_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altlvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altlvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altmult_accum
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altmult_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altparallel_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altpll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altserial_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altshift_taps
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altsource_probe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altsqrt
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altsquare
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altstratixii_oct
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altsyncram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altsyncram_body
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── arm_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── arm_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── arm_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cda_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cda_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cda_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneiiigl_post_divider
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_async
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_low_latency
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_mixed_widths
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_sync
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dffp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dummy_hub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── flexible_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── flexible_lvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── _info
│ │ │ ├── jtag_tap_controller
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lcell
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_cycloneiiigl_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_cycloneiiigl_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_cycloneiiigl_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_cycloneiiigl_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_cycloneiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_stratixiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_stratixii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_stratix_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── parallel_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── pll_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── scfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── signal_gen
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── sld_signaltap
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── sld_virtual_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── sld_virtual_jtag_basic
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixgx_dpa_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixiii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixiii_lvds_rx_channel
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixiii_lvds_rx_dpa
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixii_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratix_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratix_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixv_local_clk_divider
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stx_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stx_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stx_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ttn_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ttn_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ttn_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ └── _vmake
│ │ ├── altera_ver
│ │ │ ├── alt_bidir_buf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_bidir_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_inbuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_inbuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_iobuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_outbuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_outbuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_outbuf_tri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_outbuf_tri_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── carry
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── carry_sum
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cascade
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── clklock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dffea
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dffeas
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dlatch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── exp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── global
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── _info
│ │ │ ├── jkff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── jkffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lut_input
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lut_output
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── opndrn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── prim_gdff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @p@r@i@m_@g@d@f@f_@h@i@g@h
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @p@r@i@m_@g@d@f@f_@l@o@w
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── prim_gjkff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── prim_gsrff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── prim_gtff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── row_global
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── soft
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── srff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── srffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── tff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── tffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @t@r@i
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ └── _vmake
│ │ ├── cycloneive_ver
│ │ │ ├── cycloneive_and1
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_and16
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_apfcontroller
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_asmiblock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_b17mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_b5mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_bmux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_clkctrl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_crcblock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ddio_oe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ddio_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_dffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ena_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_io_ibuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_io_obuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_io_pad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_lcell_comb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_data_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_mult_internal
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_sign_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mux41
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_nmux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_oscillator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e@a@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_pseudo_diff_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ram_block
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_routing_wire
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_rublock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_termination
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_termination_ctrl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_termination_rupdn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── _info
│ │ │ └── _vmake
│ │ ├── lpm_ver
│ │ │ ├── _info
│ │ │ ├── lpm_abs
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_add_sub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_and
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_bipad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_bustri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_clshift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_compare
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_constant
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_counter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_decode
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_divide
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_ff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_fifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_fifo_dc
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_fifo_dc_async
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_fifo_dc_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_fifo_dc_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_inpad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_inv
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_or
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_outpad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_ram_dp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_ram_dq
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_ram_io
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_rom
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_shiftreg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_xor
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ └── _vmake
│ │ └── sgate_ver
│ │ ├── _info
│ │ ├── io_buf_opdrn
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── io_buf_tri
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── mux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_add
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_addsub
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_bus_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_decoder
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_div
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_left_shift
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_less_than
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_mod
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_right_shift
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_rotate_left
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_rotate_right
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_selector
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── tri_bus
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ └── _vmake
│ └── vhdl_libs
│ ├── altera
│ │ ├── alt_bidir_buf
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_bidir_diff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_dspbuilder_package
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_europa_support_lib
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_primitives_components
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_standard_functions
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_syn_attributes
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── alt_inbuf
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_inbuf_diff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_iobuf
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_iobuf_diff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf_diff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf_tri
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf_tri_diff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── carry
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── carry_sum
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cascade
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── clklock
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffea
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffeas
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_dffeas.asm64
│ │ │ ├── vital_dffeas.dat
│ │ │ ├── vital_dffeas.dbs
│ │ │ └── vital_dffeas.rw64
│ │ ├── dffeas_pack
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── dlatch
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── exp
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── global
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── _info
│ │ ├── jkff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── jkffe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── latch
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lut_input
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lut_output
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── opndrn
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gdff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gjkff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gsrff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gtff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── row_global
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── soft
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── srff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── srffe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── tff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── tffe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── tri
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ └── _vmake
│ ├── altera_lnsim
│ │ ├── 12e5b03
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 15d22bc
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 18bccce
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 1cb0503
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 1d68b
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 2a5d02e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 2f249d4
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 37675ae
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 3a23e5e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 3a75f63
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 4e2ab05
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 535494
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 5efd07e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 68afd2e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 6f4876c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 709a6e2
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 7164b58
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 73da6e2
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 7810ace
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 78e1dbe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 797d66c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 7e8dfe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 865c0fe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 8969d72
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 896a008
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 896a3ec
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 8e43a5e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 95f0e7c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 968299d
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 96a48eb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 96a49eb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 9d0d25b
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 9dc9bec
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── a4e35cb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_lnsim_components
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── b672c43
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── bd39f53
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── be50d12
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── be64d12
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── c127fcb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── c81e68c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cf86212
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── d391a3c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── d630a3c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dd42db0
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── e14f98e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── e5d4e9e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── e6b27ec
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── e825dae
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── f1dc1b2
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ff75ede
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── _info
│ │ └── _vmake
│ ├── altera_mf
│ │ ├── a_graycounter
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt3pram
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altaccumulate
│ │ │ ├── behaviour.asm64
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── behaviour.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_aeq_s4
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── trans.asm64
│ │ │ ├── trans.dat
│ │ │ ├── trans.dbs
│ │ │ └── trans.rw64
│ │ ├── alt_aeq_s4_func
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── alt_cal
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.asm64
│ │ │ ├── rtl.dat
│ │ │ ├── rtl.dbs
│ │ │ └── rtl.rw64
│ │ ├── alt_cal_av
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.asm64
│ │ │ ├── rtl.dat
│ │ │ ├── rtl.dbs
│ │ │ └── rtl.rw64
│ │ ├── alt_cal_c3gxb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.asm64
│ │ │ ├── rtl.dat
│ │ │ ├── rtl.dbs
│ │ │ └── rtl.rw64
│ │ ├── alt_cal_mm
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.asm64
│ │ │ ├── rtl.dat
│ │ │ ├── rtl.dbs
│ │ │ └── rtl.rw64
│ │ ├── alt_cal_sv
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.asm64
│ │ │ ├── rtl.dat
│ │ │ ├── rtl.dbs
│ │ │ └── rtl.rw64
│ │ ├── altclklock
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altddio_bidir
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── struct.asm64
│ │ │ ├── struct.dat
│ │ │ ├── struct.dbs
│ │ │ └── struct.rw64
│ │ ├── altddio_in
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altddio_out
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_dfe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── trans.asm64
│ │ │ ├── trans.dat
│ │ │ ├── trans.dbs
│ │ │ └── trans.rw64
│ │ ├── alt_dfe_func
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altdpram
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_common_conversion
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_device_families
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_mf_components
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_mf_hint_evaluation
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_std_synchronizer
│ │ │ ├── behavioral.asm64
│ │ │ ├── behavioral.dat
│ │ │ ├── behavioral.dbs
│ │ │ ├── behavioral.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_std_synchronizer_bundle
│ │ │ ├── behavioral.asm64
│ │ │ ├── behavioral.dat
│ │ │ ├── behavioral.dbs
│ │ │ ├── behavioral.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_eyemon
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── trans.asm64
│ │ │ ├── trans.dat
│ │ │ ├── trans.dbs
│ │ │ └── trans.rw64
│ │ ├── alt_eyemon_func
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altfp_mult
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altlvds_rx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altlvds_tx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altmult_accum
│ │ │ ├── behaviour.asm64
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── behaviour.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altmult_add
│ │ │ ├── behaviour.asm64
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── behaviour.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altparallel_flash_loader
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altparallel_flash_loader.asm64
│ │ │ ├── sim_altparallel_flash_loader.dat
│ │ │ ├── sim_altparallel_flash_loader.dbs
│ │ │ └── sim_altparallel_flash_loader.rw64
│ │ ├── altpll
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altserial_flash_loader
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altserial_flash_loader.asm64
│ │ │ ├── sim_altserial_flash_loader.dat
│ │ │ ├── sim_altserial_flash_loader.dbs
│ │ │ └── sim_altserial_flash_loader.rw64
│ │ ├── altshift_taps
│ │ │ ├── behavioural.asm64
│ │ │ ├── behavioural.dat
│ │ │ ├── behavioural.dbs
│ │ │ ├── behavioural.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altsource_probe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altsource_probe.asm64
│ │ │ ├── sim_altsource_probe.dat
│ │ │ ├── sim_altsource_probe.dbs
│ │ │ └── sim_altsource_probe.rw64
│ │ ├── altsqrt
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altsquare
│ │ │ ├── altsquare_syn.asm64
│ │ │ ├── altsquare_syn.dat
│ │ │ ├── altsquare_syn.dbs
│ │ │ ├── altsquare_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altstratixii_oct
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altstratixii_oct.asm64
│ │ │ ├── sim_altstratixii_oct.dat
│ │ │ ├── sim_altstratixii_oct.dbs
│ │ │ └── sim_altstratixii_oct.rw64
│ │ ├── altsyncram
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── translated.asm64
│ │ │ ├── translated.dat
│ │ │ ├── translated.dbs
│ │ │ └── translated.rw64
│ │ ├── arm_m_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── arm_n_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── arm_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_async
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_dffpipe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_fefifo
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_low_latency
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_mixed_widths
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_sync
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffp
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dummy_hub
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── flexible_lvds_rx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── flexible_lvds_tx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── _info
│ │ ├── jtag_tap_controller
│ │ │ ├── fsm.asm64
│ │ │ ├── fsm.dat
│ │ │ ├── fsm.dbs
│ │ │ ├── fsm.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lcell
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_cda_mn_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_cda_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_cycloneiiigl_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── mf_cycloneiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── mf_m_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_n_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_pllpack
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── mf_pll_reg
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stingray_mn_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stingray_post_divider
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stingray_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stratixiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── mf_stratixii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── mf_stratix_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── mf_ttn_mn_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_ttn_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── parallel_add
│ │ │ ├── behaviour.asm64
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── behaviour.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── pll_iobuf
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── scfifo
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── signal_gen
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── simmodel.asm64
│ │ │ ├── simmodel.dat
│ │ │ ├── simmodel.dbs
│ │ │ └── simmodel.rw64
│ │ ├── sld_node
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── sld_signaltap
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_sld_signaltap.asm64
│ │ │ ├── sim_sld_signaltap.dat
│ │ │ ├── sim_sld_signaltap.dbs
│ │ │ └── sim_sld_signaltap.rw64
│ │ ├── sld_virtual_jtag
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── structural.asm64
│ │ │ ├── structural.dat
│ │ │ ├── structural.dbs
│ │ │ └── structural.rw64
│ │ ├── sld_virtual_jtag_basic
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_sld_virtual_jtag_basic.asm64
│ │ │ ├── sim_sld_virtual_jtag_basic.dat
│ │ │ ├── sim_sld_virtual_jtag_basic.dbs
│ │ │ └── sim_sld_virtual_jtag_basic.rw64
│ │ ├── stratixiii_lvds_rx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixiii_lvds_rx_channel
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixiii_lvds_rx_dpa
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixii_lvds_rx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixii_tx_outclk
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratix_tx_outclk
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixv_local_clk_divider
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stx_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ └── _vmake
│ ├── cycloneive
│ │ ├── cycloneive_and1
│ │ │ ├── altvital.asm64
│ │ │ ├── altvital.dat
│ │ │ ├── altvital.dbs
│ │ │ ├── altvital.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_apfcontroller
│ │ │ ├── architecture_apfcontroller.asm64
│ │ │ ├── architecture_apfcontroller.dat
│ │ │ ├── architecture_apfcontroller.dbs
│ │ │ ├── architecture_apfcontroller.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_asmiblock
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_atom_pack
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── cycloneive_clkctrl
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_clkctrl.asm64
│ │ │ ├── vital_clkctrl.dat
│ │ │ ├── vital_clkctrl.dbs
│ │ │ └── vital_clkctrl.rw64
│ │ ├── cycloneive_components
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── cycloneive_crcblock
│ │ │ ├── architecture_crcblock.asm64
│ │ │ ├── architecture_crcblock.dat
│ │ │ ├── architecture_crcblock.dbs
│ │ │ ├── architecture_crcblock.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ddio_oe
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ddio_out
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_dffe
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ena_reg
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_lcell_ff.asm64
│ │ │ ├── vital_lcell_ff.dat
│ │ │ ├── vital_lcell_ff.dbs
│ │ │ └── vital_lcell_ff.rw64
│ │ ├── cycloneive_io_ibuf
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_io_obuf
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_io_pad
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_jtag
│ │ │ ├── architecture_jtag.asm64
│ │ │ ├── architecture_jtag.dat
│ │ │ ├── architecture_jtag.dbs
│ │ │ ├── architecture_jtag.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_latch.asm64
│ │ │ ├── vital_latch.dat
│ │ │ ├── vital_latch.dbs
│ │ │ └── vital_latch.rw64
│ │ ├── cycloneive_lcell_comb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_lcell_comb.asm64
│ │ │ ├── vital_lcell_comb.dat
│ │ │ ├── vital_lcell_comb.dbs
│ │ │ └── vital_lcell_comb.rw64
│ │ ├── cycloneive_mac_data_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_data_reg.asm64
│ │ │ ├── vital_cycloneive_mac_data_reg.dat
│ │ │ ├── vital_cycloneive_mac_data_reg.dbs
│ │ │ └── vital_cycloneive_mac_data_reg.rw64
│ │ ├── cycloneive_mac_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_mult.asm64
│ │ │ ├── vital_cycloneive_mac_mult.dat
│ │ │ ├── vital_cycloneive_mac_mult.dbs
│ │ │ └── vital_cycloneive_mac_mult.rw64
│ │ ├── cycloneive_mac_mult_internal
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_mult_internal.asm64
│ │ │ ├── vital_cycloneive_mac_mult_internal.dat
│ │ │ ├── vital_cycloneive_mac_mult_internal.dbs
│ │ │ └── vital_cycloneive_mac_mult_internal.rw64
│ │ ├── cycloneive_mac_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_out.asm64
│ │ │ ├── vital_cycloneive_mac_out.dat
│ │ │ ├── vital_cycloneive_mac_out.dbs
│ │ │ └── vital_cycloneive_mac_out.rw64
│ │ ├── cycloneive_mac_sign_reg
│ │ │ ├── cycloneive_mac_sign_reg.asm64
│ │ │ ├── cycloneive_mac_sign_reg.dat
│ │ │ ├── cycloneive_mac_sign_reg.dbs
│ │ │ ├── cycloneive_mac_sign_reg.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_mn_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_mux21
│ │ │ ├── altvital.asm64
│ │ │ ├── altvital.dat
│ │ │ ├── altvital.dbs
│ │ │ ├── altvital.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_mux41
│ │ │ ├── altvital.asm64
│ │ │ ├── altvital.dat
│ │ │ ├── altvital.dbs
│ │ │ ├── altvital.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_oscillator
│ │ │ ├── architecture_oscillator.asm64
│ │ │ ├── architecture_oscillator.dat
│ │ │ ├── architecture_oscillator.dbs
│ │ │ ├── architecture_oscillator.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── cycloneive_pllpack
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── cycloneive_pll_reg
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_pseudo_diff_out
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ram_block
│ │ │ ├── block_arch.asm64
│ │ │ ├── block_arch.dat
│ │ │ ├── block_arch.dbs
│ │ │ ├── block_arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ram_pulse_generator
│ │ │ ├── pgen_arch.asm64
│ │ │ ├── pgen_arch.dat
│ │ │ ├── pgen_arch.dbs
│ │ │ ├── pgen_arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ram_register
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── reg_arch.asm64
│ │ │ ├── reg_arch.dat
│ │ │ ├── reg_arch.dbs
│ │ │ └── reg_arch.rw64
│ │ ├── cycloneive_routing_wire
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_rublock
│ │ │ ├── architecture_rublock.asm64
│ │ │ ├── architecture_rublock.dat
│ │ │ ├── architecture_rublock.dbs
│ │ │ ├── architecture_rublock.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_termination
│ │ │ ├── cycloneive_termination_arch.asm64
│ │ │ ├── cycloneive_termination_arch.dat
│ │ │ ├── cycloneive_termination_arch.dbs
│ │ │ ├── cycloneive_termination_arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── _info
│ │ └── _vmake
│ ├── lpm
│ │ ├── _info
│ │ ├── lpm_abs
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_add_sub
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_add_sub_signed
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_add_sub_unsigned
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_and
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_bipad
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_bustri
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_clshift
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_common_conversion
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── lpm_compare
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_compare_signed
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_compare_unsigned
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_components
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── lpm_constant
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_counter
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_decode
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_device_families
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── lpm_divide
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ff
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc_async
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc_dffpipe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc_fefifo
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_hint_evaluation
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── lpm_inpad
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_inv
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_latch
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_mult
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_mux
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_or
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_outpad
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ram_dp
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ram_dq
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ram_io
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_rom
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_shiftreg
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_xor
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ └── _vmake
│ └── sgate
│ ├── _info
│ ├── io_buf_opdrn
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── io_buf_tri
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── mux21
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_add
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_addsub
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_bus_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_decoder
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_div
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_latch
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_left_shift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_less_than
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_mod
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_mult
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_prio_selector
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_right_shift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_rotate_left
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_rotate_right
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_selector
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── sgate_pack
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── tri_bus
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ └── _vmake
├── wqlibrary2
│ ├── transcript
│ ├── verilog_libs
│ │ ├── altera_lnsim_ver
│ │ │ ├── altera_arriavgz_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_arriav_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_cyclonev_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_generic_pll_functions
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_lnsim_functions
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @a@l@t@e@r@a_@l@n@s@i@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_mult_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_mult_add_rtl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_pll_dps_lcell_comb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_pll_reconfig_tasks
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_stratixv_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_syncram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_adder_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_chainout_adder_accumulator_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_coef_reg_ext_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_data_split_reg_ext_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_dynamic_signed_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_latency_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_multiplier_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_preadder_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_register_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_register_with_ext_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_scanchain
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_signed_extension_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ama_systolic_adder_function
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_14nm_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_14nm_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_mlab_cell_core
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_mlab_cell_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_mlab_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_ram_block
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_28nm_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_porta_latches
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── common_porta_registers
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dprio_init
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dps_extra_kick
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dps_pulse_gen
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── fourteennm_m20k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_28nm_hp_mlab_cell_impl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_28nm_lc_mlab_cell_impl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_cdr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_device_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_m10k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_m20k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── generic_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── _info
│ │ │ ├── nadder_m20k
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── twentynm_iopll_ip
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ └── _vmake
│ │ ├── altera_mf_ver
│ │ │ ├── a_graycounter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt3pram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altaccumulate
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_aeq_s4
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_cal
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_cal_av
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_cal_c3gxb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_cal_mm
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_cal_sv
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altclklock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altddio_bidir
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altddio_in
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altddio_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_dfe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altdpram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_std_synchronizer
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_std_synchronizer_bundle
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altera_syncram_derived
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_eyemon
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altfp_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altlvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altlvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altmult_accum
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altmult_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altparallel_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altpll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altserial_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altshift_taps
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altsource_probe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altsqrt
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altsquare
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altstratixii_oct
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altsyncram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── altsyncram_body
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── arm_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── arm_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── arm_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cda_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cda_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cda_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneiiigl_post_divider
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_async
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_low_latency
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_mixed_widths
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dcfifo_sync
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dffp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dummy_hub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── flexible_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── flexible_lvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── _info
│ │ │ ├── jtag_tap_controller
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lcell
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_cycloneiiigl_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_cycloneiiigl_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_cycloneiiigl_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_cycloneiiigl_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_cycloneiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_stratixiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_stratixii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @m@f_stratix_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── parallel_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── pll_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── scfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── signal_gen
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── sld_signaltap
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── sld_virtual_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── sld_virtual_jtag_basic
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixgx_dpa_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixiii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixiii_lvds_rx_channel
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixiii_lvds_rx_dpa
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixii_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratix_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratix_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stratixv_local_clk_divider
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stx_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stx_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── stx_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ttn_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ttn_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── ttn_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ └── _vmake
│ │ ├── altera_ver
│ │ │ ├── alt_bidir_buf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_bidir_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_inbuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_inbuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_iobuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_outbuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_outbuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_outbuf_tri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── alt_outbuf_tri_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── carry
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── carry_sum
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cascade
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── clklock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dffea
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dffeas
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── dlatch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── exp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── global
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── _info
│ │ │ ├── jkff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── jkffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lut_input
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lut_output
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── opndrn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── prim_gdff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @p@r@i@m_@g@d@f@f_@h@i@g@h
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @p@r@i@m_@g@d@f@f_@l@o@w
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── prim_gjkff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── prim_gsrff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── prim_gtff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── row_global
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── soft
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── srff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── srffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── tff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── tffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @t@r@i
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ └── _vmake
│ │ ├── cycloneive_ver
│ │ │ ├── cycloneive_and1
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_and16
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_apfcontroller
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_asmiblock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_b17mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_b5mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_bmux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_clkctrl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_crcblock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ddio_oe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ddio_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_dffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ena_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_io_ibuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_io_obuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_io_pad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_lcell_comb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_data_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_mult_internal
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mac_sign_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_mux41
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_nmux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_oscillator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e@a@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_pseudo_diff_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ram_block
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_routing_wire
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_rublock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_termination
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_termination_ctrl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── cycloneive_termination_rupdn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── _info
│ │ │ └── _vmake
│ │ ├── lpm_ver
│ │ │ ├── _info
│ │ │ ├── lpm_abs
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_add_sub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_and
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_bipad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_bustri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_clshift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_compare
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_constant
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_counter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_decode
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_divide
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_ff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_fifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_fifo_dc
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_fifo_dc_async
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_fifo_dc_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_fifo_dc_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_inpad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_inv
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_or
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_outpad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_ram_dp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_ram_dq
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_ram_io
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_rom
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_shiftreg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ ├── lpm_xor
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ ├── _primary.vhd
│ │ │ │ ├── verilog.asm64
│ │ │ │ └── verilog.rw64
│ │ │ └── _vmake
│ │ └── sgate_ver
│ │ ├── _info
│ │ ├── io_buf_opdrn
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── io_buf_tri
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── mux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_add
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_addsub
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_bus_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_decoder
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_div
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_left_shift
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_less_than
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_mod
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_right_shift
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_rotate_left
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_rotate_right
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── oper_selector
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── tri_bus
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ └── _vmake
│ └── vhdl_libs
│ ├── altera
│ │ ├── alt_bidir_buf
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_bidir_diff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_dspbuilder_package
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_europa_support_lib
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_primitives_components
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_standard_functions
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_syn_attributes
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── alt_inbuf
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_inbuf_diff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_iobuf
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_iobuf_diff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf_diff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf_tri
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_outbuf_tri_diff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── carry
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── carry_sum
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cascade
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── clklock
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffea
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffeas
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_dffeas.asm64
│ │ │ ├── vital_dffeas.dat
│ │ │ ├── vital_dffeas.dbs
│ │ │ └── vital_dffeas.rw64
│ │ ├── dffeas_pack
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── dlatch
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── exp
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── global
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── _info
│ │ ├── jkff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── jkffe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── latch
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lut_input
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lut_output
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── opndrn
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gdff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gjkff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gsrff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── prim_gtff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── row_global
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── soft
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── srff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── srffe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── tff
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── tffe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── tri
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ └── _vmake
│ ├── altera_lnsim
│ │ ├── 12e5b03
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 15d22bc
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 18bccce
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 1cb0503
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 1d68b
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 2a5d02e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 2f249d4
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 37675ae
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 3a23e5e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 3a75f63
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 4e2ab05
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 535494
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 5efd07e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 68afd2e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 6f4876c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 709a6e2
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 7164b58
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 73da6e2
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 7810ace
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 78e1dbe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 797d66c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 7e8dfe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 865c0fe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 8969d72
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 896a008
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 896a3ec
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 8e43a5e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 95f0e7c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 968299d
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 96a48eb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 96a49eb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 9d0d25b
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── 9dc9bec
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── a4e35cb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_lnsim_components
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── b672c43
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── bd39f53
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── be50d12
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── be64d12
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── c127fcb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── c81e68c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cf86212
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── d391a3c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── d630a3c
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dd42db0
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── e14f98e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── e5d4e9e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── e6b27ec
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── e825dae
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── f1dc1b2
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ff75ede
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── _info
│ │ └── _vmake
│ ├── altera_mf
│ │ ├── a_graycounter
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt3pram
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altaccumulate
│ │ │ ├── behaviour.asm64
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── behaviour.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_aeq_s4
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── trans.asm64
│ │ │ ├── trans.dat
│ │ │ ├── trans.dbs
│ │ │ └── trans.rw64
│ │ ├── alt_aeq_s4_func
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── alt_cal
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.asm64
│ │ │ ├── rtl.dat
│ │ │ ├── rtl.dbs
│ │ │ └── rtl.rw64
│ │ ├── alt_cal_av
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.asm64
│ │ │ ├── rtl.dat
│ │ │ ├── rtl.dbs
│ │ │ └── rtl.rw64
│ │ ├── alt_cal_c3gxb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.asm64
│ │ │ ├── rtl.dat
│ │ │ ├── rtl.dbs
│ │ │ └── rtl.rw64
│ │ ├── alt_cal_mm
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.asm64
│ │ │ ├── rtl.dat
│ │ │ ├── rtl.dbs
│ │ │ └── rtl.rw64
│ │ ├── alt_cal_sv
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── rtl.asm64
│ │ │ ├── rtl.dat
│ │ │ ├── rtl.dbs
│ │ │ └── rtl.rw64
│ │ ├── altclklock
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altddio_bidir
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── struct.asm64
│ │ │ ├── struct.dat
│ │ │ ├── struct.dbs
│ │ │ └── struct.rw64
│ │ ├── altddio_in
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altddio_out
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_dfe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── trans.asm64
│ │ │ ├── trans.dat
│ │ │ ├── trans.dbs
│ │ │ └── trans.rw64
│ │ ├── alt_dfe_func
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altdpram
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_common_conversion
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_device_families
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_mf_components
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_mf_hint_evaluation
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altera_std_synchronizer
│ │ │ ├── behavioral.asm64
│ │ │ ├── behavioral.dat
│ │ │ ├── behavioral.dbs
│ │ │ ├── behavioral.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altera_std_synchronizer_bundle
│ │ │ ├── behavioral.asm64
│ │ │ ├── behavioral.dat
│ │ │ ├── behavioral.dbs
│ │ │ ├── behavioral.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── alt_eyemon
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── trans.asm64
│ │ │ ├── trans.dat
│ │ │ ├── trans.dbs
│ │ │ └── trans.rw64
│ │ ├── alt_eyemon_func
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── altfp_mult
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altlvds_rx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altlvds_tx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altmult_accum
│ │ │ ├── behaviour.asm64
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── behaviour.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altmult_add
│ │ │ ├── behaviour.asm64
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── behaviour.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altparallel_flash_loader
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altparallel_flash_loader.asm64
│ │ │ ├── sim_altparallel_flash_loader.dat
│ │ │ ├── sim_altparallel_flash_loader.dbs
│ │ │ └── sim_altparallel_flash_loader.rw64
│ │ ├── altpll
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altserial_flash_loader
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altserial_flash_loader.asm64
│ │ │ ├── sim_altserial_flash_loader.dat
│ │ │ ├── sim_altserial_flash_loader.dbs
│ │ │ └── sim_altserial_flash_loader.rw64
│ │ ├── altshift_taps
│ │ │ ├── behavioural.asm64
│ │ │ ├── behavioural.dat
│ │ │ ├── behavioural.dbs
│ │ │ ├── behavioural.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altsource_probe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altsource_probe.asm64
│ │ │ ├── sim_altsource_probe.dat
│ │ │ ├── sim_altsource_probe.dbs
│ │ │ └── sim_altsource_probe.rw64
│ │ ├── altsqrt
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altsquare
│ │ │ ├── altsquare_syn.asm64
│ │ │ ├── altsquare_syn.dat
│ │ │ ├── altsquare_syn.dbs
│ │ │ ├── altsquare_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── altstratixii_oct
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_altstratixii_oct.asm64
│ │ │ ├── sim_altstratixii_oct.dat
│ │ │ ├── sim_altstratixii_oct.dbs
│ │ │ └── sim_altstratixii_oct.rw64
│ │ ├── altsyncram
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── translated.asm64
│ │ │ ├── translated.dat
│ │ │ ├── translated.dbs
│ │ │ └── translated.rw64
│ │ ├── arm_m_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── arm_n_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── arm_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_async
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_dffpipe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_fefifo
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_low_latency
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_mixed_widths
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dcfifo_sync
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dffp
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── dummy_hub
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── flexible_lvds_rx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── flexible_lvds_tx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── _info
│ │ ├── jtag_tap_controller
│ │ │ ├── fsm.asm64
│ │ │ ├── fsm.dat
│ │ │ ├── fsm.dbs
│ │ │ ├── fsm.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lcell
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_cda_mn_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_cda_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_cycloneiiigl_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── mf_cycloneiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── mf_m_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_n_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_pllpack
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── mf_pll_reg
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stingray_mn_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stingray_post_divider
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stingray_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_stratixiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── mf_stratixii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── mf_stratix_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── mf_ttn_mn_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── mf_ttn_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── parallel_add
│ │ │ ├── behaviour.asm64
│ │ │ ├── behaviour.dat
│ │ │ ├── behaviour.dbs
│ │ │ ├── behaviour.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── pll_iobuf
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── scfifo
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── signal_gen
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── simmodel.asm64
│ │ │ ├── simmodel.dat
│ │ │ ├── simmodel.dbs
│ │ │ └── simmodel.rw64
│ │ ├── sld_node
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── sld_signaltap
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_sld_signaltap.asm64
│ │ │ ├── sim_sld_signaltap.dat
│ │ │ ├── sim_sld_signaltap.dbs
│ │ │ └── sim_sld_signaltap.rw64
│ │ ├── sld_virtual_jtag
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── structural.asm64
│ │ │ ├── structural.dat
│ │ │ ├── structural.dbs
│ │ │ └── structural.rw64
│ │ ├── sld_virtual_jtag_basic
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── sim_sld_virtual_jtag_basic.asm64
│ │ │ ├── sim_sld_virtual_jtag_basic.dat
│ │ │ ├── sim_sld_virtual_jtag_basic.dbs
│ │ │ └── sim_sld_virtual_jtag_basic.rw64
│ │ ├── stratixiii_lvds_rx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixiii_lvds_rx_channel
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixiii_lvds_rx_dpa
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixii_lvds_rx
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixii_tx_outclk
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratix_tx_outclk
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stratixv_local_clk_divider
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── stx_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ └── _vmake
│ ├── cycloneive
│ │ ├── cycloneive_and1
│ │ │ ├── altvital.asm64
│ │ │ ├── altvital.dat
│ │ │ ├── altvital.dbs
│ │ │ ├── altvital.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_apfcontroller
│ │ │ ├── architecture_apfcontroller.asm64
│ │ │ ├── architecture_apfcontroller.dat
│ │ │ ├── architecture_apfcontroller.dbs
│ │ │ ├── architecture_apfcontroller.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_asmiblock
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_atom_pack
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── cycloneive_clkctrl
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_clkctrl.asm64
│ │ │ ├── vital_clkctrl.dat
│ │ │ ├── vital_clkctrl.dbs
│ │ │ └── vital_clkctrl.rw64
│ │ ├── cycloneive_components
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── cycloneive_crcblock
│ │ │ ├── architecture_crcblock.asm64
│ │ │ ├── architecture_crcblock.dat
│ │ │ ├── architecture_crcblock.dbs
│ │ │ ├── architecture_crcblock.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ddio_oe
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ddio_out
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_dffe
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ena_reg
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_lcell_ff.asm64
│ │ │ ├── vital_lcell_ff.dat
│ │ │ ├── vital_lcell_ff.dbs
│ │ │ └── vital_lcell_ff.rw64
│ │ ├── cycloneive_io_ibuf
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_io_obuf
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_io_pad
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_jtag
│ │ │ ├── architecture_jtag.asm64
│ │ │ ├── architecture_jtag.dat
│ │ │ ├── architecture_jtag.dbs
│ │ │ ├── architecture_jtag.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_latch.asm64
│ │ │ ├── vital_latch.dat
│ │ │ ├── vital_latch.dbs
│ │ │ └── vital_latch.rw64
│ │ ├── cycloneive_lcell_comb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_lcell_comb.asm64
│ │ │ ├── vital_lcell_comb.dat
│ │ │ ├── vital_lcell_comb.dbs
│ │ │ └── vital_lcell_comb.rw64
│ │ ├── cycloneive_mac_data_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_data_reg.asm64
│ │ │ ├── vital_cycloneive_mac_data_reg.dat
│ │ │ ├── vital_cycloneive_mac_data_reg.dbs
│ │ │ └── vital_cycloneive_mac_data_reg.rw64
│ │ ├── cycloneive_mac_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_mult.asm64
│ │ │ ├── vital_cycloneive_mac_mult.dat
│ │ │ ├── vital_cycloneive_mac_mult.dbs
│ │ │ └── vital_cycloneive_mac_mult.rw64
│ │ ├── cycloneive_mac_mult_internal
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_mult_internal.asm64
│ │ │ ├── vital_cycloneive_mac_mult_internal.dat
│ │ │ ├── vital_cycloneive_mac_mult_internal.dbs
│ │ │ └── vital_cycloneive_mac_mult_internal.rw64
│ │ ├── cycloneive_mac_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_cycloneive_mac_out.asm64
│ │ │ ├── vital_cycloneive_mac_out.dat
│ │ │ ├── vital_cycloneive_mac_out.dbs
│ │ │ └── vital_cycloneive_mac_out.rw64
│ │ ├── cycloneive_mac_sign_reg
│ │ │ ├── cycloneive_mac_sign_reg.asm64
│ │ │ ├── cycloneive_mac_sign_reg.dat
│ │ │ ├── cycloneive_mac_sign_reg.dbs
│ │ │ ├── cycloneive_mac_sign_reg.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_mn_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_mux21
│ │ │ ├── altvital.asm64
│ │ │ ├── altvital.dat
│ │ │ ├── altvital.dbs
│ │ │ ├── altvital.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_mux41
│ │ │ ├── altvital.asm64
│ │ │ ├── altvital.dat
│ │ │ ├── altvital.dbs
│ │ │ ├── altvital.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_oscillator
│ │ │ ├── architecture_oscillator.asm64
│ │ │ ├── architecture_oscillator.dat
│ │ │ ├── architecture_oscillator.dbs
│ │ │ ├── architecture_oscillator.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── vital_pll.asm64
│ │ │ ├── vital_pll.dat
│ │ │ ├── vital_pll.dbs
│ │ │ └── vital_pll.rw64
│ │ ├── cycloneive_pllpack
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── cycloneive_pll_reg
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_pseudo_diff_out
│ │ │ ├── arch.asm64
│ │ │ ├── arch.dat
│ │ │ ├── arch.dbs
│ │ │ ├── arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ram_block
│ │ │ ├── block_arch.asm64
│ │ │ ├── block_arch.dat
│ │ │ ├── block_arch.dbs
│ │ │ ├── block_arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ram_pulse_generator
│ │ │ ├── pgen_arch.asm64
│ │ │ ├── pgen_arch.dat
│ │ │ ├── pgen_arch.dbs
│ │ │ ├── pgen_arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_ram_register
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── reg_arch.asm64
│ │ │ ├── reg_arch.dat
│ │ │ ├── reg_arch.dbs
│ │ │ └── reg_arch.rw64
│ │ ├── cycloneive_routing_wire
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_rublock
│ │ │ ├── architecture_rublock.asm64
│ │ │ ├── architecture_rublock.dat
│ │ │ ├── architecture_rublock.dbs
│ │ │ ├── architecture_rublock.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_scale_cntr
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── cycloneive_termination
│ │ │ ├── cycloneive_termination_arch.asm64
│ │ │ ├── cycloneive_termination_arch.dat
│ │ │ ├── cycloneive_termination_arch.dbs
│ │ │ ├── cycloneive_termination_arch.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── _info
│ │ └── _vmake
│ ├── lpm
│ │ ├── _info
│ │ ├── lpm_abs
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_add_sub
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_add_sub_signed
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_add_sub_unsigned
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_and
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_bipad
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_bustri
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_clshift
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_common_conversion
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── lpm_compare
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_compare_signed
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_compare_unsigned
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_components
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── lpm_constant
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_counter
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_decode
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_device_families
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── lpm_divide
│ │ │ ├── behave.asm64
│ │ │ ├── behave.dat
│ │ │ ├── behave.dbs
│ │ │ ├── behave.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ff
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc_async
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc_dffpipe
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_fifo_dc_fefifo
│ │ │ ├── behavior.asm64
│ │ │ ├── behavior.dat
│ │ │ ├── behavior.dbs
│ │ │ ├── behavior.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_hint_evaluation
│ │ │ ├── body.asm64
│ │ │ ├── body.dat
│ │ │ ├── body.dbs
│ │ │ ├── body.rw64
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _vhdl.asm64
│ │ │ └── _vhdl.rw64
│ │ ├── lpm_inpad
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_inv
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_latch
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_mult
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_mux
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_or
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_outpad
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ram_dp
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ram_dq
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_ram_io
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_rom
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_shiftreg
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ ├── lpm_xor
│ │ │ ├── lpm_syn.asm64
│ │ │ ├── lpm_syn.dat
│ │ │ ├── lpm_syn.dbs
│ │ │ ├── lpm_syn.rw64
│ │ │ ├── _primary.dat
│ │ │ └── _primary.dbs
│ │ └── _vmake
│ └── sgate
│ ├── _info
│ ├── io_buf_opdrn
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── io_buf_tri
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── mux21
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_add
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_addsub
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_bus_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_decoder
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_div
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_latch
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_left_shift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_less_than
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_mod
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_mult
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_prio_selector
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_right_shift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_rotate_left
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_rotate_right
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── oper_selector
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ ├── sgate_pack
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── tri_bus
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_arch.asm64
│ │ ├── sim_arch.dat
│ │ ├── sim_arch.dbs
│ │ └── sim_arch.rw64
│ └── _vmake
└── wqlibrary3
├── transcript
├── verilog_libs
│ ├── altera_lnsim_ver
│ │ ├── altera_arriavgz_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_arriav_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_cyclonev_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_generic_pll_functions
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_lnsim_functions
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @a@l@t@e@r@a_@l@n@s@i@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_mult_add
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_mult_add_rtl
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_pll_dps_lcell_comb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_pll_reconfig_tasks
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_stratixv_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_syncram
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_adder_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_chainout_adder_accumulator_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_coef_reg_ext_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_data_split_reg_ext_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_dynamic_signed_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_latency_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_multiplier_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_preadder_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_register_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_register_with_ext_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_scanchain
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_signed_extension_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ama_systolic_adder_function
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── common_14nm_ram_pulse_generator
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── common_14nm_ram_register
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── common_28nm_mlab_cell_core
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── common_28nm_mlab_cell_pulse_generator
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── common_28nm_mlab_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── common_28nm_ram_block
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── common_28nm_ram_pulse_generator
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── common_28nm_ram_register
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── common_porta_latches
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── common_porta_registers
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dprio_init
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dps_extra_kick
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dps_pulse_gen
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── fourteennm_m20k
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── generic_28nm_hp_mlab_cell_impl
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── generic_28nm_lc_mlab_cell_impl
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── generic_cdr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── generic_device_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── generic_m10k
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── generic_m20k
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── generic_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── generic_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── _info
│ │ ├── nadder_m20k
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── twentynm_iopll_ip
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ └── _vmake
│ ├── altera_mf_ver
│ │ ├── a_graycounter
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt3pram
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altaccumulate
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_aeq_s4
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_cal
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_cal_av
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_cal_c3gxb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_cal_mm
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_cal_sv
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altclklock
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altddio_bidir
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altddio_in
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altddio_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_dfe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altdpram
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_std_synchronizer
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_std_synchronizer_bundle
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altera_syncram_derived
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_eyemon
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altfp_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altlvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altlvds_tx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altmult_accum
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altmult_add
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altparallel_flash_loader
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altpll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altserial_flash_loader
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altshift_taps
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altsource_probe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altsqrt
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altsquare
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altstratixii_oct
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altsyncram
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── altsyncram_body
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── arm_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── arm_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── arm_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cda_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cda_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cda_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneiiigl_post_divider
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dcfifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dcfifo_async
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dcfifo_dffpipe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dcfifo_fefifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dcfifo_low_latency
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dcfifo_mixed_widths
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dcfifo_sync
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dffp
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dummy_hub
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── flexible_lvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── flexible_lvds_tx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── _info
│ │ ├── jtag_tap_controller
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lcell
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @m@f_cycloneiiigl_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @m@f_cycloneiiigl_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @m@f_cycloneiiigl_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @m@f_cycloneiiigl_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @m@f_cycloneiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @m@f_pll_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @m@f_stratixiii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @m@f_stratixii_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @m@f_stratix_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── parallel_add
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── pll_iobuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── scfifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── signal_gen
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── sld_signaltap
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── sld_virtual_jtag
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── sld_virtual_jtag_basic
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stratixgx_dpa_lvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stratixiii_lvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stratixiii_lvds_rx_channel
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stratixiii_lvds_rx_dpa
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stratixii_lvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stratixii_tx_outclk
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stratix_lvds_rx
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stratix_tx_outclk
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stratixv_local_clk_divider
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stx_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stx_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── stx_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ttn_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ttn_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── ttn_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ └── _vmake
│ ├── altera_ver
│ │ ├── alt_bidir_buf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_bidir_diff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_inbuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_inbuf_diff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_iobuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_iobuf_diff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_outbuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_outbuf_diff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_outbuf_tri
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── alt_outbuf_tri_diff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── carry
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── carry_sum
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cascade
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── clklock
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dffe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dffea
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dffeas
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── dlatch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── exp
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── global
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── _info
│ │ ├── jkff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── jkffe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lut_input
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lut_output
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── opndrn
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── prim_gdff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @p@r@i@m_@g@d@f@f_@h@i@g@h
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @p@r@i@m_@g@d@f@f_@l@o@w
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── prim_gjkff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── prim_gsrff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── prim_gtff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── row_global
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── soft
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── srff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── srffe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── tff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── tffe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @t@r@i
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ └── _vmake
│ ├── cycloneive_ver
│ │ ├── cycloneive_and1
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_and16
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_apfcontroller
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_asmiblock
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_b17mux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_b5mux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_bmux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_clkctrl
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_crcblock
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_ddio_oe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_ddio_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_dffe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_ena_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_ff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_io_ibuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_io_obuf
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_io_pad
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_jtag
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_lcell_comb
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_mac_data_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_mac_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_mac_mult_internal
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_mac_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_mac_sign_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_m_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_mux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_mux41
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_n_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_nmux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_oscillator
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_pll
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_pll_reg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e@a@s
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_pseudo_diff_out
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_ram_block
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_ram_pulse_generator
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_ram_register
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_routing_wire
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_rublock
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_scale_cntr
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_termination
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_termination_ctrl
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── cycloneive_termination_rupdn
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── _info
│ │ └── _vmake
│ ├── lpm_ver
│ │ ├── _info
│ │ ├── lpm_abs
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_add_sub
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_and
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_bipad
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_bustri
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_clshift
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_compare
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_constant
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_counter
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_decode
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_divide
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_ff
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_fifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_fifo_dc
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_fifo_dc_async
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_fifo_dc_dffpipe
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_fifo_dc_fefifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_inpad
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_inv
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_or
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_outpad
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_ram_dp
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_ram_dq
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_ram_io
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_rom
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_shiftreg
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ ├── lpm_xor
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ ├── _primary.vhd
│ │ │ ├── verilog.asm64
│ │ │ └── verilog.rw64
│ │ └── _vmake
│ └── sgate_ver
│ ├── _info
│ ├── io_buf_opdrn
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── io_buf_tri
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── mux21
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_add
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_addsub
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_bus_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_decoder
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_div
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_latch
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_left_shift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_less_than
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_mod
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_mult
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_mux
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_right_shift
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_rotate_left
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_rotate_right
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── oper_selector
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── tri_bus
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ └── _vmake
└── vhdl_libs
├── altera
│ ├── alt_bidir_buf
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_bidir_diff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_dspbuilder_package
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── altera_europa_support_lib
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── altera_primitives_components
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── altera_standard_functions
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── altera_syn_attributes
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── alt_inbuf
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_inbuf_diff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_iobuf
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_iobuf_diff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_outbuf
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_outbuf_diff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_outbuf_tri
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_outbuf_tri_diff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── carry
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── carry_sum
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cascade
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── clklock
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dffe
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dffea
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dffeas
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_dffeas.asm64
│ │ ├── vital_dffeas.dat
│ │ ├── vital_dffeas.dbs
│ │ └── vital_dffeas.rw64
│ ├── dffeas_pack
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── dlatch
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── exp
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── global
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── _info
│ ├── jkff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── jkffe
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── latch
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lut_input
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lut_output
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── opndrn
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── prim_gdff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── prim_gjkff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── prim_gsrff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── prim_gtff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── row_global
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── soft
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── srff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── srffe
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── tff
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── tffe
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── tri
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ └── _vmake
├── altera_lnsim
│ ├── 12e5b03
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 15d22bc
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 18bccce
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 1cb0503
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 1d68b
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 2a5d02e
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 2f249d4
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 37675ae
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 3a23e5e
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 3a75f63
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 4e2ab05
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 535494
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 5efd07e
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 68afd2e
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 6f4876c
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 709a6e2
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 7164b58
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 73da6e2
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 7810ace
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 78e1dbe
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 797d66c
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 7e8dfe
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 865c0fe
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 8969d72
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 896a008
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 896a3ec
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 8e43a5e
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 95f0e7c
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 968299d
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 96a48eb
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 96a49eb
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 9d0d25b
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── 9dc9bec
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── a4e35cb
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── altera_lnsim_components
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── b672c43
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── bd39f53
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── be50d12
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── be64d12
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── c127fcb
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── c81e68c
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── cf86212
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── d391a3c
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── d630a3c
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── dd42db0
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── e14f98e
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── e5d4e9e
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── e6b27ec
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── e825dae
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── f1dc1b2
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── ff75ede
│ │ ├── _primary.dat
│ │ ├── _primary.vhd
│ │ ├── verilog.asm64
│ │ └── verilog.rw64
│ ├── _info
│ └── _vmake
├── altera_mf
│ ├── a_graycounter
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt3pram
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altaccumulate
│ │ ├── behaviour.asm64
│ │ ├── behaviour.dat
│ │ ├── behaviour.dbs
│ │ ├── behaviour.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_aeq_s4
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── trans.asm64
│ │ ├── trans.dat
│ │ ├── trans.dbs
│ │ └── trans.rw64
│ ├── alt_aeq_s4_func
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── alt_cal
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── rtl.asm64
│ │ ├── rtl.dat
│ │ ├── rtl.dbs
│ │ └── rtl.rw64
│ ├── alt_cal_av
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── rtl.asm64
│ │ ├── rtl.dat
│ │ ├── rtl.dbs
│ │ └── rtl.rw64
│ ├── alt_cal_c3gxb
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── rtl.asm64
│ │ ├── rtl.dat
│ │ ├── rtl.dbs
│ │ └── rtl.rw64
│ ├── alt_cal_mm
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── rtl.asm64
│ │ ├── rtl.dat
│ │ ├── rtl.dbs
│ │ └── rtl.rw64
│ ├── alt_cal_sv
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── rtl.asm64
│ │ ├── rtl.dat
│ │ ├── rtl.dbs
│ │ └── rtl.rw64
│ ├── altclklock
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altddio_bidir
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── struct.asm64
│ │ ├── struct.dat
│ │ ├── struct.dbs
│ │ └── struct.rw64
│ ├── altddio_in
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altddio_out
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_dfe
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── trans.asm64
│ │ ├── trans.dat
│ │ ├── trans.dbs
│ │ └── trans.rw64
│ ├── alt_dfe_func
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── altdpram
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altera_common_conversion
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── altera_device_families
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── altera_mf_components
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── altera_mf_hint_evaluation
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── altera_std_synchronizer
│ │ ├── behavioral.asm64
│ │ ├── behavioral.dat
│ │ ├── behavioral.dbs
│ │ ├── behavioral.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altera_std_synchronizer_bundle
│ │ ├── behavioral.asm64
│ │ ├── behavioral.dat
│ │ ├── behavioral.dbs
│ │ ├── behavioral.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── alt_eyemon
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── trans.asm64
│ │ ├── trans.dat
│ │ ├── trans.dbs
│ │ └── trans.rw64
│ ├── alt_eyemon_func
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── altfp_mult
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altlvds_rx
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altlvds_tx
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altmult_accum
│ │ ├── behaviour.asm64
│ │ ├── behaviour.dat
│ │ ├── behaviour.dbs
│ │ ├── behaviour.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altmult_add
│ │ ├── behaviour.asm64
│ │ ├── behaviour.dat
│ │ ├── behaviour.dbs
│ │ ├── behaviour.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altparallel_flash_loader
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_altparallel_flash_loader.asm64
│ │ ├── sim_altparallel_flash_loader.dat
│ │ ├── sim_altparallel_flash_loader.dbs
│ │ └── sim_altparallel_flash_loader.rw64
│ ├── altpll
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altserial_flash_loader
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_altserial_flash_loader.asm64
│ │ ├── sim_altserial_flash_loader.dat
│ │ ├── sim_altserial_flash_loader.dbs
│ │ └── sim_altserial_flash_loader.rw64
│ ├── altshift_taps
│ │ ├── behavioural.asm64
│ │ ├── behavioural.dat
│ │ ├── behavioural.dbs
│ │ ├── behavioural.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altsource_probe
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_altsource_probe.asm64
│ │ ├── sim_altsource_probe.dat
│ │ ├── sim_altsource_probe.dbs
│ │ └── sim_altsource_probe.rw64
│ ├── altsqrt
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altsquare
│ │ ├── altsquare_syn.asm64
│ │ ├── altsquare_syn.dat
│ │ ├── altsquare_syn.dbs
│ │ ├── altsquare_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── altstratixii_oct
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_altstratixii_oct.asm64
│ │ ├── sim_altstratixii_oct.dat
│ │ ├── sim_altstratixii_oct.dbs
│ │ └── sim_altstratixii_oct.rw64
│ ├── altsyncram
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── translated.asm64
│ │ ├── translated.dat
│ │ ├── translated.dbs
│ │ └── translated.rw64
│ ├── arm_m_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── arm_n_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── arm_scale_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dcfifo
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dcfifo_async
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dcfifo_dffpipe
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dcfifo_fefifo
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dcfifo_low_latency
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dcfifo_mixed_widths
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dcfifo_sync
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dffp
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── dummy_hub
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── flexible_lvds_rx
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── flexible_lvds_tx
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── _info
│ ├── jtag_tap_controller
│ │ ├── fsm.asm64
│ │ ├── fsm.dat
│ │ ├── fsm.dbs
│ │ ├── fsm.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lcell
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── mf_cda_mn_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── mf_cda_scale_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── mf_cycloneiiigl_pll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_pll.asm64
│ │ ├── vital_pll.dat
│ │ ├── vital_pll.dbs
│ │ └── vital_pll.rw64
│ ├── mf_cycloneiii_pll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_pll.asm64
│ │ ├── vital_pll.dat
│ │ ├── vital_pll.dbs
│ │ └── vital_pll.rw64
│ ├── mf_m_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── mf_n_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── mf_pllpack
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── mf_pll_reg
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── mf_stingray_mn_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── mf_stingray_post_divider
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── mf_stingray_scale_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── mf_stratixiii_pll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_pll.asm64
│ │ ├── vital_pll.dat
│ │ ├── vital_pll.dbs
│ │ └── vital_pll.rw64
│ ├── mf_stratixii_pll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_pll.asm64
│ │ ├── vital_pll.dat
│ │ ├── vital_pll.dbs
│ │ └── vital_pll.rw64
│ ├── mf_stratix_pll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_pll.asm64
│ │ ├── vital_pll.dat
│ │ ├── vital_pll.dbs
│ │ └── vital_pll.rw64
│ ├── mf_ttn_mn_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── mf_ttn_scale_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── parallel_add
│ │ ├── behaviour.asm64
│ │ ├── behaviour.dat
│ │ ├── behaviour.dbs
│ │ ├── behaviour.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── pll_iobuf
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── scfifo
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── signal_gen
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── simmodel.asm64
│ │ ├── simmodel.dat
│ │ ├── simmodel.dbs
│ │ └── simmodel.rw64
│ ├── sld_node
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── sld_signaltap
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_sld_signaltap.asm64
│ │ ├── sim_sld_signaltap.dat
│ │ ├── sim_sld_signaltap.dbs
│ │ └── sim_sld_signaltap.rw64
│ ├── sld_virtual_jtag
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── structural.asm64
│ │ ├── structural.dat
│ │ ├── structural.dbs
│ │ └── structural.rw64
│ ├── sld_virtual_jtag_basic
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── sim_sld_virtual_jtag_basic.asm64
│ │ ├── sim_sld_virtual_jtag_basic.dat
│ │ ├── sim_sld_virtual_jtag_basic.dbs
│ │ └── sim_sld_virtual_jtag_basic.rw64
│ ├── stratixiii_lvds_rx
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── stratixiii_lvds_rx_channel
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── stratixiii_lvds_rx_dpa
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── stratixii_lvds_rx
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── stratixii_tx_outclk
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── stratix_tx_outclk
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── stratixv_local_clk_divider
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── stx_scale_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ └── _vmake
├── cycloneive
│ ├── cycloneive_and1
│ │ ├── altvital.asm64
│ │ ├── altvital.dat
│ │ ├── altvital.dbs
│ │ ├── altvital.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_apfcontroller
│ │ ├── architecture_apfcontroller.asm64
│ │ ├── architecture_apfcontroller.dat
│ │ ├── architecture_apfcontroller.dbs
│ │ ├── architecture_apfcontroller.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_asmiblock
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_atom_pack
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── cycloneive_clkctrl
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_clkctrl.asm64
│ │ ├── vital_clkctrl.dat
│ │ ├── vital_clkctrl.dbs
│ │ └── vital_clkctrl.rw64
│ ├── cycloneive_components
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── cycloneive_crcblock
│ │ ├── architecture_crcblock.asm64
│ │ ├── architecture_crcblock.dat
│ │ ├── architecture_crcblock.dbs
│ │ ├── architecture_crcblock.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_ddio_oe
│ │ ├── arch.asm64
│ │ ├── arch.dat
│ │ ├── arch.dbs
│ │ ├── arch.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_ddio_out
│ │ ├── arch.asm64
│ │ ├── arch.dat
│ │ ├── arch.dbs
│ │ ├── arch.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_dffe
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_ena_reg
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_ff
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_lcell_ff.asm64
│ │ ├── vital_lcell_ff.dat
│ │ ├── vital_lcell_ff.dbs
│ │ └── vital_lcell_ff.rw64
│ ├── cycloneive_io_ibuf
│ │ ├── arch.asm64
│ │ ├── arch.dat
│ │ ├── arch.dbs
│ │ ├── arch.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_io_obuf
│ │ ├── arch.asm64
│ │ ├── arch.dat
│ │ ├── arch.dbs
│ │ ├── arch.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_io_pad
│ │ ├── arch.asm64
│ │ ├── arch.dat
│ │ ├── arch.dbs
│ │ ├── arch.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_jtag
│ │ ├── architecture_jtag.asm64
│ │ ├── architecture_jtag.dat
│ │ ├── architecture_jtag.dbs
│ │ ├── architecture_jtag.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_latch
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_latch.asm64
│ │ ├── vital_latch.dat
│ │ ├── vital_latch.dbs
│ │ └── vital_latch.rw64
│ ├── cycloneive_lcell_comb
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_lcell_comb.asm64
│ │ ├── vital_lcell_comb.dat
│ │ ├── vital_lcell_comb.dbs
│ │ └── vital_lcell_comb.rw64
│ ├── cycloneive_mac_data_reg
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_cycloneive_mac_data_reg.asm64
│ │ ├── vital_cycloneive_mac_data_reg.dat
│ │ ├── vital_cycloneive_mac_data_reg.dbs
│ │ └── vital_cycloneive_mac_data_reg.rw64
│ ├── cycloneive_mac_mult
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_cycloneive_mac_mult.asm64
│ │ ├── vital_cycloneive_mac_mult.dat
│ │ ├── vital_cycloneive_mac_mult.dbs
│ │ └── vital_cycloneive_mac_mult.rw64
│ ├── cycloneive_mac_mult_internal
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_cycloneive_mac_mult_internal.asm64
│ │ ├── vital_cycloneive_mac_mult_internal.dat
│ │ ├── vital_cycloneive_mac_mult_internal.dbs
│ │ └── vital_cycloneive_mac_mult_internal.rw64
│ ├── cycloneive_mac_out
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_cycloneive_mac_out.asm64
│ │ ├── vital_cycloneive_mac_out.dat
│ │ ├── vital_cycloneive_mac_out.dbs
│ │ └── vital_cycloneive_mac_out.rw64
│ ├── cycloneive_mac_sign_reg
│ │ ├── cycloneive_mac_sign_reg.asm64
│ │ ├── cycloneive_mac_sign_reg.dat
│ │ ├── cycloneive_mac_sign_reg.dbs
│ │ ├── cycloneive_mac_sign_reg.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_mn_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_mux21
│ │ ├── altvital.asm64
│ │ ├── altvital.dat
│ │ ├── altvital.dbs
│ │ ├── altvital.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_mux41
│ │ ├── altvital.asm64
│ │ ├── altvital.dat
│ │ ├── altvital.dbs
│ │ ├── altvital.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_oscillator
│ │ ├── architecture_oscillator.asm64
│ │ ├── architecture_oscillator.dat
│ │ ├── architecture_oscillator.dbs
│ │ ├── architecture_oscillator.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_pll
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── vital_pll.asm64
│ │ ├── vital_pll.dat
│ │ ├── vital_pll.dbs
│ │ └── vital_pll.rw64
│ ├── cycloneive_pllpack
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── cycloneive_pll_reg
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_pseudo_diff_out
│ │ ├── arch.asm64
│ │ ├── arch.dat
│ │ ├── arch.dbs
│ │ ├── arch.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_ram_block
│ │ ├── block_arch.asm64
│ │ ├── block_arch.dat
│ │ ├── block_arch.dbs
│ │ ├── block_arch.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_ram_pulse_generator
│ │ ├── pgen_arch.asm64
│ │ ├── pgen_arch.dat
│ │ ├── pgen_arch.dbs
│ │ ├── pgen_arch.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_ram_register
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── reg_arch.asm64
│ │ ├── reg_arch.dat
│ │ ├── reg_arch.dbs
│ │ └── reg_arch.rw64
│ ├── cycloneive_routing_wire
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_rublock
│ │ ├── architecture_rublock.asm64
│ │ ├── architecture_rublock.dat
│ │ ├── architecture_rublock.dbs
│ │ ├── architecture_rublock.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_scale_cntr
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── cycloneive_termination
│ │ ├── cycloneive_termination_arch.asm64
│ │ ├── cycloneive_termination_arch.dat
│ │ ├── cycloneive_termination_arch.dbs
│ │ ├── cycloneive_termination_arch.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── _info
│ └── _vmake
├── lpm
│ ├── _info
│ ├── lpm_abs
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_add_sub
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_add_sub_signed
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_add_sub_unsigned
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_and
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_bipad
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_bustri
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_clshift
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_common_conversion
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── lpm_compare
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_compare_signed
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_compare_unsigned
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_components
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── lpm_constant
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_counter
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_decode
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_device_families
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── lpm_divide
│ │ ├── behave.asm64
│ │ ├── behave.dat
│ │ ├── behave.dbs
│ │ ├── behave.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_ff
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_fifo
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_fifo_dc
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_fifo_dc_async
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_fifo_dc_dffpipe
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_fifo_dc_fefifo
│ │ ├── behavior.asm64
│ │ ├── behavior.dat
│ │ ├── behavior.dbs
│ │ ├── behavior.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_hint_evaluation
│ │ ├── body.asm64
│ │ ├── body.dat
│ │ ├── body.dbs
│ │ ├── body.rw64
│ │ ├── _primary.dat
│ │ ├── _primary.dbs
│ │ ├── _vhdl.asm64
│ │ └── _vhdl.rw64
│ ├── lpm_inpad
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_inv
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_latch
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_mult
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_mux
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_or
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_outpad
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_ram_dp
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_ram_dq
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_ram_io
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_rom
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_shiftreg
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ ├── lpm_xor
│ │ ├── lpm_syn.asm64
│ │ ├── lpm_syn.dat
│ │ ├── lpm_syn.dbs
│ │ ├── lpm_syn.rw64
│ │ ├── _primary.dat
│ │ └── _primary.dbs
│ └── _vmake
└── sgate
├── _info
├── io_buf_opdrn
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── io_buf_tri
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── mux21
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_add
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_addsub
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_bus_mux
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_decoder
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_div
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_latch
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_left_shift
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_less_than
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_mod
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_mult
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_mux
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_prio_selector
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_right_shift
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_rotate_left
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_rotate_right
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── oper_selector
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
├── sgate_pack
│ ├── body.asm64
│ ├── body.dat
│ ├── body.dbs
│ ├── body.rw64
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── _vhdl.asm64
│ └── _vhdl.rw64
├── tri_bus
│ ├── _primary.dat
│ ├── _primary.dbs
│ ├── sim_arch.asm64
│ ├── sim_arch.dat
│ ├── sim_arch.dbs
│ └── sim_arch.rw64
└── _vmake
2311 directories, 12042 files
标签:
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论