在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → altera fpga 双口ram ip核的运用

altera fpga 双口ram ip核的运用

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:26.74M
  • 下载次数:6
  • 浏览次数:83
  • 发布时间:2021-02-27
  • 实例类别:一般编程问题
  • 发 布 人:好学IT男
  • 文件格式:.rar
  • 所需积分:2
 

实例介绍

【实例简介】
文件是整个工程,包含verilog编写的数据、地址产生模块,包含testbench的测试代码,并用modelsim进行了仿真。方便大家理解双口ram ip核的使用。
【实例截图】
【核心代码】
b122f0f1-98d5-4b87-abc6-d17e327dee8f
└── dpramcore
├── datagenerate.v.bak
├── db
│   ├── altsyncram_13s1.tdf
│   ├── altsyncram_3gi1.tdf
│   ├── altsyncram_lqr1.tdf
│   ├── dpram_core.(0).cnf.cdb
│   ├── dpram_core.(0).cnf.hdb
│   ├── dpram_core.(1).cnf.cdb
│   ├── dpram_core.(1).cnf.hdb
│   ├── dpram_core.(2).cnf.cdb
│   ├── dpram_core.(2).cnf.hdb
│   ├── dpram_core.(3).cnf.cdb
│   ├── dpram_core.(3).cnf.hdb
│   ├── dpram_core.(4).cnf.cdb
│   ├── dpram_core.(4).cnf.hdb
│   ├── dpram_core.(5).cnf.cdb
│   ├── dpram_core.(5).cnf.hdb
│   ├── dpram_core.(6).cnf.cdb
│   ├── dpram_core.(6).cnf.hdb
│   ├── dpram_core.amm.cdb
│   ├── dpram_core.asm_labs.ddb
│   ├── dpram_core.asm.qmsg
│   ├── dpram_core.asm.rdb
│   ├── dpram_core.cbx.xml
│   ├── dpram_core.cmp2.ddb
│   ├── dpram_core.cmp.bpm
│   ├── dpram_core.cmp.cdb
│   ├── dpram_core.cmp.hdb
│   ├── dpram_core.cmp.kpt
│   ├── dpram_core.cmp.logdb
│   ├── dpram_core.cmp_merge.kpt
│   ├── dpram_core.cmp.rdb
│   ├── dpram_core.db_info
│   ├── dpram_core.eda.qmsg
│   ├── dpram_core.fit.qmsg
│   ├── dpram_core.hier_info
│   ├── dpram_core.hif
│   ├── dpram_core.idb.cdb
│   ├── dpram_core.lpc.html
│   ├── dpram_core.lpc.rdb
│   ├── dpram_core.lpc.txt
│   ├── dpram_core.map_bb.cdb
│   ├── dpram_core.map_bb.hdb
│   ├── dpram_core.map_bb.logdb
│   ├── dpram_core.map.bpm
│   ├── dpram_core.map.cdb
│   ├── dpram_core.map.hdb
│   ├── dpram_core.map.kpt
│   ├── dpram_core.map.logdb
│   ├── dpram_core.map.qmsg
│   ├── dpram_core.pre_map.cdb
│   ├── dpram_core.pre_map.hdb
│   ├── dpram_core.rpp.qmsg
│   ├── dpram_core.rtlv.hdb
│   ├── dpram_core.rtlv_sg.cdb
│   ├── dpram_core.rtlv_sg_swap.cdb
│   ├── dpram_core.sgate.rvd
│   ├── dpram_core.sgate_sm.rvd
│   ├── dpram_core.sgdiff.cdb
│   ├── dpram_core.sgdiff.hdb
│   ├── dpram_core.sld_design_entry_dsc.sci
│   ├── dpram_core.sld_design_entry.sci
│   ├── dpram_core.smart_action.txt
│   ├── dpram_core.sta_cmp.6_slow_1200mv_85c.tdb
│   ├── dpram_core.sta.qmsg
│   ├── dpram_core.sta.rdb
│   ├── dpram_core.stingray_io_sim_cache.99um_ff_1200mv_0c_fast.hsd
│   ├── dpram_core.stingray_io_sim_cache.99um_tt_1200mv_0c_slow.hsd
│   ├── dpram_core.stingray_io_sim_cache.99um_tt_1200mv_85c_slow.hsd
│   ├── dpram_core.syn_hier_info
│   ├── dpram_core.tiscmp.fast_1200mv_0c.ddb
│   ├── dpram_core.tiscmp.slow_1200mv_0c.ddb
│   ├── dpram_core.tiscmp.slow_1200mv_85c.ddb
│   ├── dpram_core.tis_db_list.ddb
│   ├── dpram_core.tmw_info
│   ├── logic_util_heursitic.dat
│   └── prev_cmp_dpram_core.qmsg
├── dpram_bb.v
├── dpram.bsf
├── dpram_core.asm.rpt
├── dpram_core.done
├── dpram_core.eda.rpt
├── dpram_core.fit.rpt
├── dpram_core.fit.smsg
├── dpram_core.fit.summary
├── dpram_core.flow.rpt
├── dpram_core.map.rpt
├── dpram_core.map.summary
├── dpram_core_nativelink_simulation.rpt
├── dpram_core.pin
├── dpram_core.pof
├── dpram_core.qpf
├── dpram_core.qsf
├── dpram_core.sof
├── dpram_core.sta.rpt
├── dpram_core.sta.summary
├── dpram_core.v
├── dpram_core.v.bak
├── dpram_inst.v
├── dpram.qip
├── dpram_syn.v
├── dpram.v
├── greybox_tmp
│   └── cbx_args.txt
├── incremental_db
│   ├── compiled_partitions
│   │   ├── dpram_core.db_info
│   │   ├── dpram_core.root_partition.cmp.cbp
│   │   ├── dpram_core.root_partition.cmp.cdb
│   │   ├── dpram_core.root_partition.cmp.dfp
│   │   ├── dpram_core.root_partition.cmp.hdb
│   │   ├── dpram_core.root_partition.cmp.kpt
│   │   ├── dpram_core.root_partition.cmp.logdb
│   │   ├── dpram_core.root_partition.cmp.rcfdb
│   │   ├── dpram_core.root_partition.cmp.re.rcfdb
│   │   ├── dpram_core.root_partition.map.cbp
│   │   ├── dpram_core.root_partition.map.cdb
│   │   ├── dpram_core.root_partition.map.dpi
│   │   ├── dpram_core.root_partition.map.hdb
│   │   └── dpram_core.root_partition.map.kpt
│   └── README
└── simulation
└── modelsim
├── datagenerate.v
├── datagenerate.v.bak
├── dpram_core_6_1200mv_0c_slow.vo
├── dpram_core_6_1200mv_0c_v_slow.sdo
├── dpram_core_6_1200mv_85c_slow.vo
├── dpram_core_6_1200mv_85c_v_slow.sdo
├── dpram_core_fast.vo
├── dpram_core_min_1200mv_0c_fast.vo
├── dpram_core_min_1200mv_0c_v_fast.sdo
├── dpram_core_modelsim.xrf
├── dpram_core_run_msim_rtl_verilog.do
├── dpram_core_run_msim_rtl_verilog.do.bak
├── dpram_core_run_msim_rtl_verilog.do.bak1
├── dpram_core_run_msim_rtl_verilog.do.bak2
├── dpram_core_run_msim_rtl_verilog.do.bak3
├── dpram_core_run_msim_rtl_verilog.do.bak4
├── dpram_core_run_msim_rtl_verilog.do.bak5
├── dpram_core.sft
├── dpram_core_v_fast.sdo
├── dpram_core.vo
├── dpram_core_v.sdo
├── dpram_core.vt
├── dpram_core.vt.bak
├── modelsim.ini
├── msim_transcript
├── rtl_work
│   ├── datagenerate
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── dpram
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── dpram_core
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── dpram_core_vlg_tst
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── _info
│   ├── @_opt
│   │   ├── _deps
│   │   ├── vopt05vrh0
│   │   ├── vopt064nci
│   │   ├── vopt0q5rbi
│   │   ├── vopt0vxn5z
│   │   ├── vopt2gw86t
│   │   ├── vopt3khde9
│   │   ├── vopt3nsjci
│   │   ├── vopt3tdg6b
│   │   ├── vopt47vmbi
│   │   ├── vopt4mgmh0
│   │   ├── vopt5gd5nv
│   │   ├── vopt647ae9
│   │   ├── vopt6m8dd9
│   │   ├── vopt756ih0
│   │   ├── vopt76ffci
│   │   ├── vopt7qgibi
│   │   ├── vopta417y7
│   │   ├── vopta5y9d9
│   │   ├── voptan4cci
│   │   ├── voptb76fbi
│   │   ├── voptbasfa1
│   │   ├── voptbr7hai
│   │   ├── voptdkn4y7
│   │   ├── vopte6t9ci
│   │   ├── vopteae66b
│   │   ├── voptf8xeai
│   │   ├── voptggiv5t
│   │   ├── vopth4c0y7
│   │   ├── voptht336b
│   │   ├── voptinf6ci
│   │   ├── voptiribai
│   │   ├── voptis26b1
│   │   ├── voptk08r5t
│   │   ├── voptkk1xx7
│   │   ├── voptm652ci
│   │   ├── voptm9r2b1
│   │   ├── voptmm30di
│   │   ├── voptn887ai
│   │   ├── voptqgxm5t
│   │   ├── voptr5swci
│   │   ├── voptr9dt6b
│   │   ├── vopts6tzv7
│   │   ├── voptssdza1
│   │   ├── voptv0jh5t
│   │   ├── voptvj6ke9
│   │   ├── voptwa8s5z
│   │   ├── voptwmesci
│   │   ├── voptwnfwv7
│   │   ├── voptws2n6b
│   │   ├── voptz3whe9
│   │   └── voptz9rj6b
│   └── _vmake
├── verilog_libs
│   ├── altera_lnsim_ver
│   │   ├── altera_lnsim_functions
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altera_pll
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── common_28nm_mlab_cell
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── common_28nm_mlab_cell_pulse_generator
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── common_28nm_ram_block
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── common_28nm_ram_pulse_generator
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── common_28nm_ram_register
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── generic_cdr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── generic_m20k
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── generic_mlab_cell
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── generic_pll
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── _info
│   │   └── _vmake
│   ├── altera_mf_ver
│   │   ├── a_graycounter
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt3pram
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altaccumulate
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_aeq_s4
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_cal
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_cal_c3gxb
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_cal_mm
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altclklock
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altddio_bidir
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altddio_in
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altddio_out
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_dfe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altdpram
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altera_std_synchronizer
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altera_std_synchronizer_bundle
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_eyemon
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altfp_mult
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altlvds_rx
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altlvds_tx
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altmult_accum
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altmult_add
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altparallel_flash_loader
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altpll
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altserial_flash_loader
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altshift_taps
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altsource_probe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altsqrt
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altsquare
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altstratixii_oct
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── altsyncram
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── arm_m_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── arm_n_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── arm_scale_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cda_m_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cda_n_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cda_scale_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiiigl_post_divider
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dcfifo
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dcfifo_async
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dcfifo_dffpipe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dcfifo_fefifo
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dcfifo_low_latency
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dcfifo_mixed_widths
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dcfifo_sync
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dffp
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dummy_hub
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── flexible_lvds_rx
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── flexible_lvds_tx
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── _info
│   │   ├── jtag_tap_controller
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lcell
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @m@f_cycloneiiigl_m_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @m@f_cycloneiiigl_n_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @m@f_cycloneiiigl_pll
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @m@f_cycloneiiigl_scale_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @m@f_cycloneiii_pll
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @m@f_pll_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @m@f_stratixiii_pll
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @m@f_stratixii_pll
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @m@f_stratix_pll
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── parallel_add
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── pll_iobuf
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── scfifo
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── signal_gen
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── sld_signaltap
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── sld_virtual_jtag
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stratixgx_dpa_lvds_rx
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stratixiii_lvds_rx
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stratixiii_lvds_rx_channel
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stratixiii_lvds_rx_dpa
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stratixii_lvds_rx
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stratixii_tx_outclk
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stratix_lvds_rx
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stratix_tx_outclk
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stx_m_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stx_n_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── stx_scale_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── ttn_m_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── ttn_n_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── ttn_scale_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   └── _vmake
│   ├── altera_ver
│   │   ├── alt_bidir_buf
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_bidir_diff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_inbuf
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_inbuf_diff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_iobuf
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_iobuf_diff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_outbuf
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_outbuf_diff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_outbuf_tri
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── alt_outbuf_tri_diff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── carry
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── carry_sum
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cascade
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── clklock
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dffe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dffea
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dffeas
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── dlatch
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── exp
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── global
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── _info
│   │   ├── jkff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── jkffe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── latch
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lut_input
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lut_output
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── opndrn
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── prim_gdff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @p@r@i@m_@g@d@f@f_@h@i@g@h
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @p@r@i@m_@g@d@f@f_@l@o@w
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── prim_gjkff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── prim_gsrff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── prim_gtff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── row_global
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── soft
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── srff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── srffe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── tff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── tffe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @t@r@i
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   └── _vmake
│   ├── cycloneii_ver
│   │   ├── cycloneii_and1
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_and16
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_asmiblock
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_asynch_io
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_b17mux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_b5mux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_bmux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_clkctrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_clk_delay_cal_ctrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_clk_delay_ctrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_crcblock
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_dffe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_ena_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_io
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_jtag
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_latch
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_lcell_comb
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_lcell_ff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_mac_data_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_mac_mult
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_mac_mult_internal
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_mac_out
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_mac_sign_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_m_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_mux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_mux41
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_n_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_nmux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_pll
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_pll_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @c@y@c@l@o@n@e@i@i_@p@r@i@m_@d@f@f@e
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @c@y@c@l@o@n@e@i@i_@p@r@i@m_@d@f@f@e@a@s
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @c@y@c@l@o@n@e@i@i_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_ram_block
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_ram_pulse_generator
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_ram_register
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_routing_wire
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneii_scale_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── _info
│   │   └── _vmake
│   ├── cycloneiv_hssi_ver
│   │   ├── cycloneiv_hssi_calibration_block
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_auto_speed_neg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_chnl_reset
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_clk_ctl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_clk_gating
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_16bit
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_16bit_pma
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_addr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_bit
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_bit_pma
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_centrl_bus_out_mux
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_centrl_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_chnl_bus_out_mux
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_chnl_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_cnt
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_ctl_data
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_map
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_map_index
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_reg_centrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_reg_chnl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_sm
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_status
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_dprio_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_quad_reset
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_rxclk_ctl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_rxclk_gating
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_rx_ctrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_txclk_ctl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_txclk_gating
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_cmu_tx_ctrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_digi_chnl_hip_spt
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_dskw_sm
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_pcs_reset
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_phystatus_generator
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_phystatus_generator_fsm
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_q_pipe_interface_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rcv_sm
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_auto_speed_neg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_bist_ver
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_cdr_ctrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_comp_chnl_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_dec_chnl_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_dskw_fifo
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_eii_module
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_freqdet
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_iq_pipe_rx
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_pcs_channel_testbus
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_prbs_ver
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_rxclk_ctl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_rx_ctrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digis_ph_fifo
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digis_ram16x14_syn
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digis_ram20x16_syn
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digis_ram8x70_syn
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digis_rxclk_gating
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_digi_wordalign
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_pcs
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_pipe_interface
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_pma
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_pma_sub_clkdiv
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_rx_pma_sub_deser
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_digi
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_digi_bist_gen
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_digi_enc_chnl_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_digi_iq_pipe_tx
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_digi_prbs_gen
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_digis_ph_fifo
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_digis_ram8x49_syn
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_digis_txclk_gating
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_digi_txclk_ctl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_digi_tx_ctrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pcs
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pipe_interface
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_out_block
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_parallel_register
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_ser
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_tx_rx_det
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_tx_rx_det_clk_gen
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_tx_rx_det_div_by_2
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_tx_rx_det_rcv_det_control
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_tx_rx_det_rcv_det_digital
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_tx_rx_det_rcv_det_fsm
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_pma_sub_tx_rx_det_rcv_det_sync
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_hssi_tx_sm
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── _info
│   │   └── _vmake
│   ├── cycloneiv_pcie_hip_ver
│   │   ├── cycloneiv_hssi_pcie_hip
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_clkmux
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_compute_bit
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_dprio_16bit
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_dprio_addr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_dprio_bit
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_dprio_bus_out_mux
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_dprio_cnt
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_dprio_ctl_data
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_dprio_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_dprio_reg_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_dprio_sm
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_ecc_chk
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_ecc_decoder
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_ecc_gen
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_hip_dprio_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_hip_mram
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_hip_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_iei_detect
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_mram_top
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_param
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_pciexp_dcfiforam
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_pciexp_dcram_rtry
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_pciexp_dcram_rxvc
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_pciexp_top_hip
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pciehip_pulse_ext
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── _info
│   │   └── _vmake
│   ├── cycloneiv_ver
│   │   ├── cycloneiv_and1
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_and16
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_b17mux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_b5mux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_bmux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_clkctrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_controller
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_crcblock
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_ddio_oe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_ddio_out
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_dffe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_ena_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_ff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_io_ibuf
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_io_obuf
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_io_pad
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_jtag
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_latch
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_lcell_comb
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_mac_data_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_mac_mult
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_mac_mult_internal
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_mac_out
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_mac_sign_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_m_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_mux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_mux41
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_n_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_nmux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_oscillator
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pll
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pll_reg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_post_divider
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @c@y@c@l@o@n@e@i@v_@p@r@i@m_@d@f@f@e
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @c@y@c@l@o@n@e@i@v_@p@r@i@m_@d@f@f@e@a@s
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @c@y@c@l@o@n@e@i@v_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_pseudo_diff_out
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_ram_block
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_ram_pulse_generator
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_ram_register
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_routing_wire
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_rublock
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_scale_cntr
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_termination
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_termination_ctrl
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── cycloneiv_termination_rupdn
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── _info
│   │   └── _vmake
│   ├── lpm_ver
│   │   ├── _info
│   │   ├── lpm_abs
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_add_sub
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_and
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_bipad
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_bustri
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_clshift
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_compare
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_constant
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_counter
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_decode
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_divide
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_ff
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_fifo
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_fifo_dc
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_fifo_dc_async
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_fifo_dc_dffpipe
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_fifo_dc_fefifo
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_inpad
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_inv
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_latch
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_mult
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_mux
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_or
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_outpad
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_ram_dp
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_ram_dq
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_ram_io
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_rom
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_shiftreg
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── lpm_xor
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   └── _vmake
│   └── sgate_ver
│   ├── _info
│   ├── io_buf_opdrn
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── io_buf_tri
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── mux21
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_add
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_addsub
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_bus_mux
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_decoder
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_div
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_latch
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_left_shift
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_less_than
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_mod
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_mult
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_mux
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_right_shift
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_rotate_left
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_rotate_right
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── oper_selector
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   ├── tri_bus
│   │   ├── _primary.dat
│   │   ├── _primary.dbs
│   │   └── _primary.vhd
│   └── _vmake
└── wlftc2wg8w

406 directories, 1376 files

标签:

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警