实例介绍
基于DE2的Altera FFT IP核的完整工程及仿真,quartus编译通过,MATLAB及modelsim仿真通过,及modelsim仿真样图(由于Quartus的破解限制,FFT核生成的SOF文件下载到板子中时会提示有实效信息,请注意)
【实例截图】
【核心代码】
819d5d93-005a-4657-ad24-c34c6fa7959e
└── Altera_FFT
├── FFT modelsim 仿真成功.bmp
├── ff_transform
│ ├── db
│ │ ├── add_sub_lnj.tdf
│ │ ├── add_sub_onj.tdf
│ │ ├── a_dpfifo_no81.tdf
│ │ ├── altsyncram_0ou3.tdf
│ │ ├── altsyncram_2e81.tdf
│ │ ├── altsyncram_6491.tdf
│ │ ├── altsyncram_7491.tdf
│ │ ├── altsyncram_8491.tdf
│ │ ├── altsyncram_9aa1.tdf
│ │ ├── altsyncram_b491.tdf
│ │ ├── altsyncram_c491.tdf
│ │ ├── altsyncram_cb81.tdf
│ │ ├── altsyncram_d491.tdf
│ │ ├── altsyncram_ssf1.tdf
│ │ ├── cmpr_gs8.tdf
│ │ ├── cmpr_pgc.tdf
│ │ ├── cmpr_qgc.tdf
│ │ ├── cmpr_rgc.tdf
│ │ ├── cntr_0rf.tdf
│ │ ├── cntr_ao7.tdf
│ │ ├── cntr_apf.tdf
│ │ ├── cntr_qqf.tdf
│ │ ├── cntr_tnb.tdf
│ │ ├── cntr_unb.tdf
│ │ ├── ded_mult_8591.tdf
│ │ ├── dffpipe_a3c.tdf
│ │ ├── fft_test.(0).cnf.cdb
│ │ ├── fft_test.(0).cnf.hdb
│ │ ├── fft_test.(100).cnf.cdb
│ │ ├── fft_test.(100).cnf.hdb
│ │ ├── fft_test.(101).cnf.cdb
│ │ ├── fft_test.(101).cnf.hdb
│ │ ├── fft_test.(102).cnf.cdb
│ │ ├── fft_test.(102).cnf.hdb
│ │ ├── fft_test.(103).cnf.cdb
│ │ ├── fft_test.(103).cnf.hdb
│ │ ├── fft_test.(104).cnf.cdb
│ │ ├── fft_test.(104).cnf.hdb
│ │ ├── fft_test.(105).cnf.cdb
│ │ ├── fft_test.(105).cnf.hdb
│ │ ├── fft_test.(106).cnf.cdb
│ │ ├── fft_test.(106).cnf.hdb
│ │ ├── fft_test.(107).cnf.cdb
│ │ ├── fft_test.(107).cnf.hdb
│ │ ├── fft_test.(108).cnf.cdb
│ │ ├── fft_test.(108).cnf.hdb
│ │ ├── fft_test.(109).cnf.cdb
│ │ ├── fft_test.(109).cnf.hdb
│ │ ├── fft_test.(10).cnf.cdb
│ │ ├── fft_test.(10).cnf.hdb
│ │ ├── fft_test.(110).cnf.cdb
│ │ ├── fft_test.(110).cnf.hdb
│ │ ├── fft_test.(111).cnf.cdb
│ │ ├── fft_test.(111).cnf.hdb
│ │ ├── fft_test.(112).cnf.cdb
│ │ ├── fft_test.(112).cnf.hdb
│ │ ├── fft_test.(113).cnf.cdb
│ │ ├── fft_test.(113).cnf.hdb
│ │ ├── fft_test.(114).cnf.cdb
│ │ ├── fft_test.(114).cnf.hdb
│ │ ├── fft_test.(115).cnf.cdb
│ │ ├── fft_test.(115).cnf.hdb
│ │ ├── fft_test.(116).cnf.cdb
│ │ ├── fft_test.(116).cnf.hdb
│ │ ├── fft_test.(117).cnf.cdb
│ │ ├── fft_test.(117).cnf.hdb
│ │ ├── fft_test.(118).cnf.cdb
│ │ ├── fft_test.(118).cnf.hdb
│ │ ├── fft_test.(119).cnf.cdb
│ │ ├── fft_test.(119).cnf.hdb
│ │ ├── fft_test.(11).cnf.cdb
│ │ ├── fft_test.(11).cnf.hdb
│ │ ├── fft_test.(120).cnf.cdb
│ │ ├── fft_test.(120).cnf.hdb
│ │ ├── fft_test.(121).cnf.cdb
│ │ ├── fft_test.(121).cnf.hdb
│ │ ├── fft_test.(122).cnf.cdb
│ │ ├── fft_test.(122).cnf.hdb
│ │ ├── fft_test.(123).cnf.cdb
│ │ ├── fft_test.(123).cnf.hdb
│ │ ├── fft_test.(124).cnf.cdb
│ │ ├── fft_test.(124).cnf.hdb
│ │ ├── fft_test.(125).cnf.cdb
│ │ ├── fft_test.(125).cnf.hdb
│ │ ├── fft_test.(126).cnf.cdb
│ │ ├── fft_test.(126).cnf.hdb
│ │ ├── fft_test.(127).cnf.cdb
│ │ ├── fft_test.(127).cnf.hdb
│ │ ├── fft_test.(128).cnf.cdb
│ │ ├── fft_test.(128).cnf.hdb
│ │ ├── fft_test.(129).cnf.cdb
│ │ ├── fft_test.(129).cnf.hdb
│ │ ├── fft_test.(12).cnf.cdb
│ │ ├── fft_test.(12).cnf.hdb
│ │ ├── fft_test.(130).cnf.cdb
│ │ ├── fft_test.(130).cnf.hdb
│ │ ├── fft_test.(131).cnf.cdb
│ │ ├── fft_test.(131).cnf.hdb
│ │ ├── fft_test.(132).cnf.cdb
│ │ ├── fft_test.(132).cnf.hdb
│ │ ├── fft_test.(133).cnf.cdb
│ │ ├── fft_test.(133).cnf.hdb
│ │ ├── fft_test.(134).cnf.cdb
│ │ ├── fft_test.(134).cnf.hdb
│ │ ├── fft_test.(135).cnf.cdb
│ │ ├── fft_test.(135).cnf.hdb
│ │ ├── fft_test.(136).cnf.cdb
│ │ ├── fft_test.(136).cnf.hdb
│ │ ├── fft_test.(13).cnf.cdb
│ │ ├── fft_test.(13).cnf.hdb
│ │ ├── fft_test.(14).cnf.cdb
│ │ ├── fft_test.(14).cnf.hdb
│ │ ├── fft_test.(15).cnf.cdb
│ │ ├── fft_test.(15).cnf.hdb
│ │ ├── fft_test.(16).cnf.cdb
│ │ ├── fft_test.(16).cnf.hdb
│ │ ├── fft_test.(17).cnf.cdb
│ │ ├── fft_test.(17).cnf.hdb
│ │ ├── fft_test.(18).cnf.cdb
│ │ ├── fft_test.(18).cnf.hdb
│ │ ├── fft_test.(19).cnf.cdb
│ │ ├── fft_test.(19).cnf.hdb
│ │ ├── fft_test.(1).cnf.cdb
│ │ ├── fft_test.(1).cnf.hdb
│ │ ├── fft_test.(20).cnf.cdb
│ │ ├── fft_test.(20).cnf.hdb
│ │ ├── fft_test.(21).cnf.cdb
│ │ ├── fft_test.(21).cnf.hdb
│ │ ├── fft_test.(22).cnf.cdb
│ │ ├── fft_test.(22).cnf.hdb
│ │ ├── fft_test.(23).cnf.cdb
│ │ ├── fft_test.(23).cnf.hdb
│ │ ├── fft_test.(24).cnf.cdb
│ │ ├── fft_test.(24).cnf.hdb
│ │ ├── fft_test.(25).cnf.cdb
│ │ ├── fft_test.(25).cnf.hdb
│ │ ├── fft_test.(26).cnf.cdb
│ │ ├── fft_test.(26).cnf.hdb
│ │ ├── fft_test.(27).cnf.cdb
│ │ ├── fft_test.(27).cnf.hdb
│ │ ├── fft_test.(28).cnf.cdb
│ │ ├── fft_test.(28).cnf.hdb
│ │ ├── fft_test.(29).cnf.cdb
│ │ ├── fft_test.(29).cnf.hdb
│ │ ├── fft_test.(2).cnf.cdb
│ │ ├── fft_test.(2).cnf.hdb
│ │ ├── fft_test.(30).cnf.cdb
│ │ ├── fft_test.(30).cnf.hdb
│ │ ├── fft_test.(31).cnf.cdb
│ │ ├── fft_test.(31).cnf.hdb
│ │ ├── fft_test.(32).cnf.cdb
│ │ ├── fft_test.(32).cnf.hdb
│ │ ├── fft_test.(33).cnf.cdb
│ │ ├── fft_test.(33).cnf.hdb
│ │ ├── fft_test.(34).cnf.cdb
│ │ ├── fft_test.(34).cnf.hdb
│ │ ├── fft_test.(35).cnf.cdb
│ │ ├── fft_test.(35).cnf.hdb
│ │ ├── fft_test.(36).cnf.cdb
│ │ ├── fft_test.(36).cnf.hdb
│ │ ├── fft_test.(37).cnf.cdb
│ │ ├── fft_test.(37).cnf.hdb
│ │ ├── fft_test.(38).cnf.cdb
│ │ ├── fft_test.(38).cnf.hdb
│ │ ├── fft_test.(39).cnf.cdb
│ │ ├── fft_test.(39).cnf.hdb
│ │ ├── fft_test.(3).cnf.cdb
│ │ ├── fft_test.(3).cnf.hdb
│ │ ├── fft_test.(40).cnf.cdb
│ │ ├── fft_test.(40).cnf.hdb
│ │ ├── fft_test.(41).cnf.cdb
│ │ ├── fft_test.(41).cnf.hdb
│ │ ├── fft_test.(42).cnf.cdb
│ │ ├── fft_test.(42).cnf.hdb
│ │ ├── fft_test.(43).cnf.cdb
│ │ ├── fft_test.(43).cnf.hdb
│ │ ├── fft_test.(44).cnf.cdb
│ │ ├── fft_test.(44).cnf.hdb
│ │ ├── fft_test.(45).cnf.cdb
│ │ ├── fft_test.(45).cnf.hdb
│ │ ├── fft_test.(46).cnf.cdb
│ │ ├── fft_test.(46).cnf.hdb
│ │ ├── fft_test.(47).cnf.cdb
│ │ ├── fft_test.(47).cnf.hdb
│ │ ├── fft_test.(48).cnf.cdb
│ │ ├── fft_test.(48).cnf.hdb
│ │ ├── fft_test.(49).cnf.cdb
│ │ ├── fft_test.(49).cnf.hdb
│ │ ├── fft_test.(4).cnf.cdb
│ │ ├── fft_test.(4).cnf.hdb
│ │ ├── fft_test.(50).cnf.cdb
│ │ ├── fft_test.(50).cnf.hdb
│ │ ├── fft_test.(51).cnf.cdb
│ │ ├── fft_test.(51).cnf.hdb
│ │ ├── fft_test.(52).cnf.cdb
│ │ ├── fft_test.(52).cnf.hdb
│ │ ├── fft_test.(53).cnf.cdb
│ │ ├── fft_test.(53).cnf.hdb
│ │ ├── fft_test.(54).cnf.cdb
│ │ ├── fft_test.(54).cnf.hdb
│ │ ├── fft_test.(55).cnf.cdb
│ │ ├── fft_test.(55).cnf.hdb
│ │ ├── fft_test.(56).cnf.cdb
│ │ ├── fft_test.(56).cnf.hdb
│ │ ├── fft_test.(57).cnf.cdb
│ │ ├── fft_test.(57).cnf.hdb
│ │ ├── fft_test.(58).cnf.cdb
│ │ ├── fft_test.(58).cnf.hdb
│ │ ├── fft_test.(59).cnf.cdb
│ │ ├── fft_test.(59).cnf.hdb
│ │ ├── fft_test.(5).cnf.cdb
│ │ ├── fft_test.(5).cnf.hdb
│ │ ├── fft_test.(60).cnf.cdb
│ │ ├── fft_test.(60).cnf.hdb
│ │ ├── fft_test.(61).cnf.cdb
│ │ ├── fft_test.(61).cnf.hdb
│ │ ├── fft_test.(62).cnf.cdb
│ │ ├── fft_test.(62).cnf.hdb
│ │ ├── fft_test.(63).cnf.cdb
│ │ ├── fft_test.(63).cnf.hdb
│ │ ├── fft_test.(64).cnf.cdb
│ │ ├── fft_test.(64).cnf.hdb
│ │ ├── fft_test.(65).cnf.cdb
│ │ ├── fft_test.(65).cnf.hdb
│ │ ├── fft_test.(66).cnf.cdb
│ │ ├── fft_test.(66).cnf.hdb
│ │ ├── fft_test.(67).cnf.cdb
│ │ ├── fft_test.(67).cnf.hdb
│ │ ├── fft_test.(68).cnf.cdb
│ │ ├── fft_test.(68).cnf.hdb
│ │ ├── fft_test.(69).cnf.cdb
│ │ ├── fft_test.(69).cnf.hdb
│ │ ├── fft_test.(6).cnf.cdb
│ │ ├── fft_test.(6).cnf.hdb
│ │ ├── fft_test.(70).cnf.cdb
│ │ ├── fft_test.(70).cnf.hdb
│ │ ├── fft_test.(71).cnf.cdb
│ │ ├── fft_test.(71).cnf.hdb
│ │ ├── fft_test.(72).cnf.cdb
│ │ ├── fft_test.(72).cnf.hdb
│ │ ├── fft_test.(73).cnf.cdb
│ │ ├── fft_test.(73).cnf.hdb
│ │ ├── fft_test.(74).cnf.cdb
│ │ ├── fft_test.(74).cnf.hdb
│ │ ├── fft_test.(75).cnf.cdb
│ │ ├── fft_test.(75).cnf.hdb
│ │ ├── fft_test.(76).cnf.cdb
│ │ ├── fft_test.(76).cnf.hdb
│ │ ├── fft_test.(77).cnf.cdb
│ │ ├── fft_test.(77).cnf.hdb
│ │ ├── fft_test.(78).cnf.cdb
│ │ ├── fft_test.(78).cnf.hdb
│ │ ├── fft_test.(79).cnf.cdb
│ │ ├── fft_test.(79).cnf.hdb
│ │ ├── fft_test.(7).cnf.cdb
│ │ ├── fft_test.(7).cnf.hdb
│ │ ├── fft_test.(80).cnf.cdb
│ │ ├── fft_test.(80).cnf.hdb
│ │ ├── fft_test.(81).cnf.cdb
│ │ ├── fft_test.(81).cnf.hdb
│ │ ├── fft_test.(82).cnf.cdb
│ │ ├── fft_test.(82).cnf.hdb
│ │ ├── fft_test.(83).cnf.cdb
│ │ ├── fft_test.(83).cnf.hdb
│ │ ├── fft_test.(84).cnf.cdb
│ │ ├── fft_test.(84).cnf.hdb
│ │ ├── fft_test.(85).cnf.cdb
│ │ ├── fft_test.(85).cnf.hdb
│ │ ├── fft_test.(86).cnf.cdb
│ │ ├── fft_test.(86).cnf.hdb
│ │ ├── fft_test.(87).cnf.cdb
│ │ ├── fft_test.(87).cnf.hdb
│ │ ├── fft_test.(88).cnf.cdb
│ │ ├── fft_test.(88).cnf.hdb
│ │ ├── fft_test.(89).cnf.cdb
│ │ ├── fft_test.(89).cnf.hdb
│ │ ├── fft_test.(8).cnf.cdb
│ │ ├── fft_test.(8).cnf.hdb
│ │ ├── fft_test.(90).cnf.cdb
│ │ ├── fft_test.(90).cnf.hdb
│ │ ├── fft_test.(91).cnf.cdb
│ │ ├── fft_test.(91).cnf.hdb
│ │ ├── fft_test.(92).cnf.cdb
│ │ ├── fft_test.(92).cnf.hdb
│ │ ├── fft_test.(93).cnf.cdb
│ │ ├── fft_test.(93).cnf.hdb
│ │ ├── fft_test.(94).cnf.cdb
│ │ ├── fft_test.(94).cnf.hdb
│ │ ├── fft_test.(95).cnf.cdb
│ │ ├── fft_test.(95).cnf.hdb
│ │ ├── fft_test.(96).cnf.cdb
│ │ ├── fft_test.(96).cnf.hdb
│ │ ├── fft_test.(97).cnf.cdb
│ │ ├── fft_test.(97).cnf.hdb
│ │ ├── fft_test.(98).cnf.cdb
│ │ ├── fft_test.(98).cnf.hdb
│ │ ├── fft_test.(99).cnf.cdb
│ │ ├── fft_test.(99).cnf.hdb
│ │ ├── fft_test.(9).cnf.cdb
│ │ ├── fft_test.(9).cnf.hdb
│ │ ├── fft_test.asm_labs.ddb
│ │ ├── fft_test.asm.qmsg
│ │ ├── fft_test.asm.rdb
│ │ ├── fft_test.autoh_e40e1.map.reg_db.cdb
│ │ ├── fft_test.cbx.xml
│ │ ├── fft_test.cmp.bpm
│ │ ├── fft_test.cmp.cdb
│ │ ├── fft_test.cmp.hdb
│ │ ├── fft_test.cmp.idb
│ │ ├── fft_test.cmp.logdb
│ │ ├── fft_test.cmp_merge.kpt
│ │ ├── fft_test.cmp.rdb
│ │ ├── fft_test.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│ │ ├── fft_test.cycloneive_io_sim_cache.45um_ii_1200mv_0c_slow.hsd
│ │ ├── fft_test.cycloneive_io_sim_cache.45um_ii_1200mv_85c_slow.hsd
│ │ ├── fft_test.db_info
│ │ ├── fft_test.eda.qmsg
│ │ ├── fft_test.fit.qmsg
│ │ ├── fft_test.hier_info
│ │ ├── fft_test.hif
│ │ ├── fft_test.ipinfo
│ │ ├── fft_test.lpc.html
│ │ ├── fft_test.lpc.rdb
│ │ ├── fft_test.lpc.txt
│ │ ├── fft_test.map.ammdb
│ │ ├── fft_test.map_bb.cdb
│ │ ├── fft_test.map_bb.hdb
│ │ ├── fft_test.map_bb.logdb
│ │ ├── fft_test.map.bpm
│ │ ├── fft_test.map.cdb
│ │ ├── fft_test.map.hdb
│ │ ├── fft_test.map.kpt
│ │ ├── fft_test.map.logdb
│ │ ├── fft_test.map.qmsg
│ │ ├── fft_test.map.rdb
│ │ ├── fft_test.nabbo_fd801.map.reg_db.cdb
│ │ ├── fft_test.pre_map.hdb
│ │ ├── fft_test.pti_db_list.ddb
│ │ ├── fft_test.root_partition.map.reg_db.cdb
│ │ ├── fft_test.routing.rdb
│ │ ├── fft_test.rtlv.hdb
│ │ ├── fft_test.rtlv_sg.cdb
│ │ ├── fft_test.rtlv_sg_swap.cdb
│ │ ├── fft_test.sgdiff.cdb
│ │ ├── fft_test.sgdiff.hdb
│ │ ├── fft_test.sld_design_entry_dsc.sci
│ │ ├── fft_test.sld_design_entry.sci
│ │ ├── fft_test.smart_action.txt
│ │ ├── fft_test.smp_dump.txt
│ │ ├── fft_test.sta_cmp.7_slow_1200mv_85c.tdb
│ │ ├── fft_test.sta.qmsg
│ │ ├── fft_test.sta.rdb
│ │ ├── fft_test.tiscmp.fast_1200mv_0c.ddb
│ │ ├── fft_test.tiscmp.slow_1200mv_0c.ddb
│ │ ├── fft_test.tiscmp.slow_1200mv_85c.ddb
│ │ ├── fft_test.tis_db_list.ddb
│ │ ├── fft_test.tmw_info
│ │ ├── fft_test.vpr.ammdb
│ │ ├── logic_util_heursitic.dat
│ │ ├── mult_add_jgq2.tdf
│ │ ├── mult_add_khq2.tdf
│ │ ├── prev_cmp_fft_test.qmsg
│ │ ├── scfifo_udh1.tdf
│ │ ├── shift_taps_a8s.tdf
│ │ ├── shift_taps_fmm.tdf
│ │ └── shift_taps_pnm.tdf
│ ├── fft_1n1024cos.hex
│ ├── fft_1n1024sin.hex
│ ├── fft_2n1024cos.hex
│ ├── fft_2n1024sin.hex
│ ├── fft_3n1024cos.hex
│ ├── fft_3n1024sin.hex
│ ├── fft_bb.v
│ ├── fft.bsf
│ ├── fft_exponent_out_c_model.txt
│ ├── fft.html
│ ├── fft_imag_input.txt
│ ├── fft_imag_output_c_model.txt
│ ├── fft-library
│ │ ├── apn_fft_cmult_cpx2_fft_131.vhd
│ │ ├── apn_fft_cmult_cpx_fft_131.vhd
│ │ ├── apn_fftfp_add_fft_131.vhd
│ │ ├── apn_fftfpbdr_core_fft_131.vhd
│ │ ├── apn_fftfpbdr_firststage_fft_131.vhd
│ │ ├── apn_fftfpbdr_laststage_fft_131.vhd
│ │ ├── apn_fftfpbdr_stage_fft_131.vhd
│ │ ├── apn_fftfpbdr_top_fft_131.ocp
│ │ ├── apn_fftfpbdr_top_fft_131.vhd
│ │ ├── apn_fftfp_cmplxmult_fft_131.vhd
│ │ ├── apn_fftfp_core_fft_131.vhd
│ │ ├── apn_fftfp_del_fft_131.vhd
│ │ ├── apn_fftfp_dft4_fft_131.vhd
│ │ ├── apn_fftfp_fft4_fft_131.vhd
│ │ ├── apn_fftfp_laststage_fft_131.vhd
│ │ ├── apn_fftfp_lsft32_fft_131.vhd
│ │ ├── apn_fftfp_mul_2727_fft_131.vhd
│ │ ├── apn_fftfp_mul_fft_131.vhd
│ │ ├── apn_fftfp_ram_fft_131.vhd
│ │ ├── apn_fftfp_rsft32_fft_131.vhd
│ │ ├── apn_fftfprvs_core_fft_131.vhd
│ │ ├── apn_fftfp_rvsctl_fft_131.vhd
│ │ ├── apn_fftfp_rvs_fft_131.vhd
│ │ ├── apn_fftfprvs_fft4_fft_131.vhd
│ │ ├── apn_fftfprvs_firststage_fft_131.vhd
│ │ ├── apn_fftfprvs_laststage_fft_131.vhd
│ │ ├── apn_fftfprvs_stage_fft_131.vhd
│ │ ├── apn_fftfprvs_top_fft_131.ocp
│ │ ├── apn_fftfprvs_top_fft_131.vhd
│ │ ├── apn_fftfprvs_twiddle_opt_fft_131.vhd
│ │ ├── apn_fftfp_shift_fft_131.vhd
│ │ ├── apn_fftfp_snorm_fft_131.vhd
│ │ ├── apn_fftfp_snorm_mul_fft_131.vhd
│ │ ├── apn_fftfp_stage_fft_131.vhd
│ │ ├── apn_fftfp_sub_fft_131.vhd
│ │ ├── apn_fftfp_top_fft_131.ocp
│ │ ├── apn_fftfp_top_fft_131.vhd
│ │ ├── apn_fftfp_twiddle_fft_131.vhd
│ │ ├── apn_fftfp_twiddle_opt_fft_131.vhd
│ │ ├── apn_fftfp_unorm_fft_131.vhd
│ │ ├── apn_fft_mult_can_fft_131.vhd
│ │ ├── apn_fft_mult_cpx_1825_fft_131.v
│ │ ├── apn_fft_mult_cpx_fft_131.vhd
│ │ ├── apn_hcc_cntsgn32_fft_131.vhd
│ │ ├── apn_hcc_cntusgn32_fft_131.vhd
│ │ ├── apn_hcc_sgnpstn_fft_131.vhd
│ │ ├── apn_hcc_usgnpos_fft_131.vhd
│ │ ├── asj_fft_1dp_ram_fft_131.vhd
│ │ ├── asj_fft_1tdp_rom_fft_131.vhd
│ │ ├── asj_fft_3dp_rom_fft_131.vhd
│ │ ├── asj_fft_3pi_mram_fft_131.vhd
│ │ ├── asj_fft_3tdp_rom_fft_131.vhd
│ │ ├── asj_fft_4dp_ram_fft_131.vhd
│ │ ├── asj_fft_6tdp_rom_fft_131.vhd
│ │ ├── asj_fft_alt_shift_tdl_fft_131.vhd
│ │ ├── asj_fft_bfp_ctrl_fft_131.vhd
│ │ ├── asj_fft_bfp_i_1pt_fft_131.vhd
│ │ ├── asj_fft_bfp_i_fft_131.vhd
│ │ ├── asj_fft_bfp_o_1pt_fft_131.vhd
│ │ ├── asj_fft_bfp_o_fft_131.vhd
│ │ ├── asj_fft_burst_ctrl_de_fft_131.vhd
│ │ ├── asj_fft_burst_ctrl_fft_131.vhd
│ │ ├── asj_fft_burst_ctrl_qe_fft_131.vhd
│ │ ├── asj_fft_cmult_can_fft_131.vhd
│ │ ├── asj_fft_cmult_std_fft_131.vhd
│ │ ├── asj_fft_cnt_ctrl_de_fft_131.vhd
│ │ ├── asj_fft_cnt_ctrl_fft_131.vhd
│ │ ├── asj_fft_cxb_addr_fft_131.vhd
│ │ ├── asj_fft_cxb_data_fft_131.vhd
│ │ ├── asj_fft_cxb_data_mram_fft_131.vhd
│ │ ├── asj_fft_cxb_data_r_fft_131.vhd
│ │ ├── asj_fft_dataadgen_fft_131.vhd
│ │ ├── asj_fft_data_ram_dp_fft_131.vhd
│ │ ├── asj_fft_data_ram_fft_131.vhd
│ │ ├── asj_fft_dft_bfp_fft_131.vhd
│ │ ├── asj_fft_dft_bfp_sgl_fft_131.vhd
│ │ ├── asj_fft_dpi_mram_fft_131.vhd
│ │ ├── asj_fft_dp_mram_fft_131.vhd
│ │ ├── asj_fft_dualstream_fft_131.ocp
│ │ ├── asj_fft_dualstream_fft_131.vhd
│ │ ├── asj_fft_in_write_sgl_fft_131.vhd
│ │ ├── asj_fft_lcm_mult_2m_fft_131.vhd
│ │ ├── asj_fft_lcm_mult_fft_131.vhd
│ │ ├── asj_fft_lpp_fft_131.vhd
│ │ ├── asj_fft_lpprdadgen_fft_131.vhd
│ │ ├── asj_fft_lpprdadr2gen_fft_131.vhd
│ │ ├── asj_fft_lpp_serial_fft_131.vhd
│ │ ├── asj_fft_lpp_serial_r2_fft_131.vhd
│ │ ├── asj_fft_m_k_counter_fft_131.vhd
│ │ ├── asj_fft_mult_add_fft_131.vhd
│ │ ├── asj_fft_pround_fft_131.vhd
│ │ ├── asj_fft_sglstream_fft_131.ocp
│ │ ├── asj_fft_sglstream_fft_131.vhd
│ │ ├── asj_fft_si_de_so_bb_fft_131.ocp
│ │ ├── asj_fft_si_de_so_bb_fft_131.vhd
│ │ ├── asj_fft_si_de_so_b_fft_131.ocp
│ │ ├── asj_fft_si_de_so_b_fft_131.vhd
│ │ ├── asj_fft_si_qe_so_bb_fft_131.ocp
│ │ ├── asj_fft_si_qe_so_bb_fft_131.vhd
│ │ ├── asj_fft_si_qe_so_b_fft_131.ocp
│ │ ├── asj_fft_si_qe_so_b_fft_131.vhd
│ │ ├── asj_fft_si_se_so_bb_fft_131.ocp
│ │ ├── asj_fft_si_se_so_bb_fft_131.vhd
│ │ ├── asj_fft_si_se_so_b_fft_131.ocp
│ │ ├── asj_fft_si_se_so_b_fft_131.vhd
│ │ ├── asj_fft_si_so_se_so_b_fft_131.ocp
│ │ ├── asj_fft_si_sose_so_b_fft_131.ocp
│ │ ├── asj_fft_si_sose_so_b_fft_131.vhd
│ │ ├── asj_fft_tdl_bit_fft_131.vhd
│ │ ├── asj_fft_tdl_bit_rst_fft_131.vhd
│ │ ├── asj_fft_tdl_fft_131.vhd
│ │ ├── asj_fft_tdl_rst_fft_131.vhd
│ │ ├── asj_fft_twadgen_dual_fft_131.vhd
│ │ ├── asj_fft_twadgen_fft_131.vhd
│ │ ├── asj_fft_twadsogen_fft_131.vhd
│ │ ├── asj_fft_twadsogen_q_fft_131.vhd
│ │ ├── asj_fft_twiddle_ctrl_qe_fft_131.vhd
│ │ ├── asj_fft_twid_rom_tdp_fft_131.vhd
│ │ ├── asj_fft_unbburst_ctrl_de_fft_131.vhd
│ │ ├── asj_fft_unbburst_ctrl_fft_131.vhd
│ │ ├── asj_fft_unbburst_ctrl_qe_fft_131.vhd
│ │ ├── asj_fft_unbburst_sose_ctrl_fft_131.vhd
│ │ ├── asj_fft_wrengen_fft_131.vhd
│ │ ├── asj_fft_wrswgen_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_block_sink_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_block_sink_fftfprvs_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_block_source_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_controller_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_controller_pe_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_monitor_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_sink_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_sink_model_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_source_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_source_from_monitor_fft_131.vhd
│ │ ├── auk_dspip_avalon_streaming_source_model_fft_131.vhd
│ │ ├── auk_dspip_bit_reverse_addr_control_fft_131.vhd
│ │ ├── auk_dspip_bit_reverse_core_fft_131.vhd
│ │ ├── auk_dspip_bit_reverse_reverse_carry_adder_fft_131.vhd
│ │ ├── auk_dspip_bit_reverse_top_fft_131.ocp
│ │ ├── auk_dspip_fpcompiler_alufp_fft_131.vhd
│ │ ├── auk_dspip_fpcompiler_aslf_fft_131.vhd
│ │ ├── auk_dspip_fpcompiler_asrf_fft_131.vhd
│ │ ├── auk_dspip_fpcompiler_castftox_fft_131.vhd
│ │ ├── auk_dspip_fpcompiler_castxtof_fft_131.vhd
│ │ ├── auk_dspip_fpcompiler_clzf_fft_131.vhd
│ │ ├── auk_dspip_fpcompiler_mulfp_fft_131.vhd
│ │ ├── auk_dspip_lib_pkg_fft_131.vhd
│ │ ├── auk_dspip_math_pkg_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_adder_fp_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_addsub_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_bf_control_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_bfi_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_bfii_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_cma_adder_fp_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_cma_bfi_fp_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_cma_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_core_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_counter_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_delay_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_enable_control_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_lib_pkg_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_stage_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_stg_out_pipe_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_stg_pipe_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_top_fft_131.ocp
│ │ ├── auk_dspip_r22sdf_top_fft_131.vhd
│ │ ├── auk_dspip_r22sdf_twrom_fft_131.vhd
│ │ ├── auk_dspip_roundsat_fft_131.vhd
│ │ ├── auk_dspip_text_pkg_fft_131.vhd
│ │ ├── fft_pack_fft_131.vhd
│ │ └── twid_rom_fft_131.vhd
│ ├── fft_model.m
│ ├── fft_nativelink.tcl
│ ├── fft.qip
│ ├── fft_real_input.txt
│ ├── fft_real_output_c_model.txt
│ ├── fft_tb.m
│ ├── fft_tb.v
│ ├── fft_tb.vhd
│ ├── fft_test.bdf
│ ├── fft_test_nativelink_simulation.rpt
│ ├── fft_test.qpf
│ ├── fft_test.qsf
│ ├── fft_test.qws
│ ├── fft.v
│ ├── fft.vo
│ ├── incremental_db
│ │ ├── compiled_partitions
│ │ │ ├── fft_test.autoh_e40e1.map.cdb
│ │ │ ├── fft_test.autoh_e40e1.map.dpi
│ │ │ ├── fft_test.autoh_e40e1.map.hdb
│ │ │ ├── fft_test.autoh_e40e1.map.kpt
│ │ │ ├── fft_test.autoh_e40e1.map.logdb
│ │ │ ├── fft_test.db_info
│ │ │ ├── fft_test.nabbo_fd801.map.cdb
│ │ │ ├── fft_test.nabbo_fd801.map.dpi
│ │ │ ├── fft_test.nabbo_fd801.map.hdb
│ │ │ ├── fft_test.nabbo_fd801.map.kpt
│ │ │ ├── fft_test.nabbo_fd801.map.logdb
│ │ │ ├── fft_test.root_partition.cmp.ammdb
│ │ │ ├── fft_test.root_partition.cmp.cdb
│ │ │ ├── fft_test.root_partition.cmp.dfp
│ │ │ ├── fft_test.root_partition.cmp.hdb
│ │ │ ├── fft_test.root_partition.cmp.logdb
│ │ │ ├── fft_test.root_partition.cmp.rcfdb
│ │ │ ├── fft_test.root_partition.map.cdb
│ │ │ ├── fft_test.root_partition.map.dpi
│ │ │ ├── fft_test.root_partition.map.hbdb.cdb
│ │ │ ├── fft_test.root_partition.map.hbdb.hb_info
│ │ │ ├── fft_test.root_partition.map.hbdb.hdb
│ │ │ ├── fft_test.root_partition.map.hbdb.sig
│ │ │ ├── fft_test.root_partition.map.hdb
│ │ │ └── fft_test.root_partition.map.kpt
│ │ └── README
│ ├── output_files
│ │ ├── fft_test.asm.rpt
│ │ ├── fft_test.done
│ │ ├── fft_test.eda.rpt
│ │ ├── fft_test.fit.rpt
│ │ ├── fft_test.fit.smsg
│ │ ├── fft_test.fit.summary
│ │ ├── fft_test.flow.rpt
│ │ ├── fft_test.jdi
│ │ ├── fft_test.map.rpt
│ │ ├── fft_test.map.summary
│ │ ├── fft_test.pin
│ │ ├── fft_test.sta.rpt
│ │ ├── fft_test.sta.summary
│ │ └── fft_test_time_limited.sof
│ ├── simulation
│ │ └── modelsim
│ │ ├── fft_1n1024cos.hex
│ │ ├── fft_1n1024cos.ver
│ │ ├── fft_1n1024sin.hex
│ │ ├── fft_1n1024sin.ver
│ │ ├── fft_2n1024cos.hex
│ │ ├── fft_2n1024cos.ver
│ │ ├── fft_2n1024sin.hex
│ │ ├── fft_2n1024sin.ver
│ │ ├── fft_3n1024cos.hex
│ │ ├── fft_3n1024cos.ver
│ │ ├── fft_3n1024sin.hex
│ │ ├── fft_3n1024sin.ver
│ │ ├── fft_exponent_output_ver.txt
│ │ ├── fft_imag_input.txt
│ │ ├── fft_imag_output_ver.txt
│ │ ├── fft_real_input.txt
│ │ ├── fft_real_output_ver.txt
│ │ ├── fft_test_run_msim_rtl_verilog.do
│ │ ├── fft_test_run_msim_rtl_verilog.do.bak
│ │ ├── fft_test_run_msim_rtl_verilog.do.bak1
│ │ ├── modelsim.ini
│ │ ├── msim_transcript
│ │ ├── rtl_work
│ │ │ ├── a_graycounter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt3pram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altaccumulate
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_aeq_s4
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal_av
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal_c3gxb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal_mm
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal_sv
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altclklock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altddio_bidir
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altddio_in
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altddio_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_dfe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altdpram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_std_synchronizer
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_std_synchronizer_bundle
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_eyemon
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altfp_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altlvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altlvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altmult_accum
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altmult_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altparallel_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altpll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altserial_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altshift_taps
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsource_probe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsqrt
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsquare
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altstratixii_oct
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsyncram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── arm_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── arm_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── arm_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cda_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cda_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cda_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiiigl_post_divider
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_async
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_low_latency
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_mixed_widths
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_sync
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dffp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dummy_hub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── fft
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── fft_tb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── flexible_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── flexible_lvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── _info
│ │ │ ├── io_buf_opdrn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── io_buf_tri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── jtag_tap_controller
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lcell
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_abs
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_add_sub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_and
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_bipad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_bustri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_clshift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_compare
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_constant
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_counter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_decode
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_divide
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc_async
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_inpad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_inv
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_or
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_outpad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ram_dp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ram_dq
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ram_io
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_rom
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_shiftreg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_xor
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_stratixiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_stratixii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_stratix_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_addsub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_bus_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_decoder
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_div
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_left_shift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_less_than
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_mod
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_right_shift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_rotate_left
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_rotate_right
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_selector
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @_opt
│ │ │ │ ├── _deps
│ │ │ │ ├── vopt0bt9xv
│ │ │ │ ├── vopt0d9cy3
│ │ │ │ ├── vopt0frezb
│ │ │ │ ├── vopt0tirw7
│ │ │ │ ├── vopt0w1vxf
│ │ │ │ ├── vopt0ygxyq
│ │ │ │ ├── vopt1bzihx
│ │ │ │ ├── vopt1demi5
│ │ │ │ ├── vopt1fxqjd
│ │ │ │ ├── vopt1tq1h9
│ │ │ │ ├── vopt1w64ih
│ │ │ │ ├── vopt1ym6js
│ │ │ │ ├── vopt29ms4q
│ │ │ │ ├── vopt2b4w5z
│ │ │ │ ├── vopt2djy67
│ │ │ │ ├── vopt2twa5b
│ │ │ │ ├── vopt2wbd6j
│ │ │ │ ├── vopt2ytf7v
│ │ │ │ ├── vopt39t2sr
│ │ │ │ ├── vopt3b95t0
│ │ │ │ ├── vopt3dr7v8
│ │ │ │ ├── vopt3t1ksc
│ │ │ │ ├── vopt3wgntk
│ │ │ │ ├── vopt3yzrvw
│ │ │ │ ├── vopt49zbdt
│ │ │ │ ├── vopt4beee2
│ │ │ │ ├── vopt4dxgfa
│ │ │ │ ├── vopt4rqtc6
│ │ │ │ ├── vopt4t6xde
│ │ │ │ ├── vopt4wmzen
│ │ │ │ ├── vopt594m1w
│ │ │ │ ├── vopt5bjq24
│ │ │ │ ├── vopt5d2t3c
│ │ │ │ ├── vopt5rw318
│ │ │ │ ├── vopt5tb62g
│ │ │ │ ├── vopt5wt83r
│ │ │ │ ├── vopt67tvkm
│ │ │ │ ├── vopt699ymx
│ │ │ │ ├── vopt6br0q5
│ │ │ │ ├── vopt6r1dm9
│ │ │ │ ├── vopt6tgfnh
│ │ │ │ ├── vopt6wzhqs
│ │ │ │ ├── vopt77z49q
│ │ │ │ ├── vopt79e7az
│ │ │ │ ├── vopt7bx9b7
│ │ │ │ ├── vopt7r6n9b
│ │ │ │ ├── vopt7tmraj
│ │ │ │ ├── vopt7w4vbv
│ │ │ │ ├── vopt874exr
│ │ │ │ ├── vopt89jgy0
│ │ │ │ ├── vopt8b2jz8
│ │ │ │ ├── vopt8nwww4
│ │ │ │ ├── vopt8rbzxc
│ │ │ │ ├── vopt8tt1zk
│ │ │ │ ├── vopt979qht
│ │ │ │ ├── vopt99rsi2
│ │ │ │ ├── vopt9b7wja
│ │ │ │ ├── vopt9n16h6
│ │ │ │ ├── vopt9rg8ie
│ │ │ │ ├── vopt9tzajn
│ │ │ │ ├── vopta5zx4k
│ │ │ │ ├── vopta7e06w
│ │ │ │ ├── vopta9x274
│ │ │ │ ├── voptan6f58
│ │ │ │ ├── voptarmh6g
│ │ │ │ ├── voptat4k7r
│ │ │ │ ├── voptb547sm
│ │ │ │ ├── voptb7j9tx
│ │ │ │ ├── voptb92cv5
│ │ │ │ ├── voptbnbrs9
│ │ │ │ ├── voptbrttth
│ │ │ │ ├── voptbt9xvs
│ │ │ │ ├── voptc59gdq
│ │ │ │ ├── voptc7riez
│ │ │ │ ├── voptc97mf7
│ │ │ │ ├── voptck1zc3
│ │ │ │ ├── voptcng1eb
│ │ │ │ ├── voptcrz3fj
│ │ │ │ ├── voptd3zn0h
│ │ │ │ ├── voptd5es1s
│ │ │ │ ├── voptd7xv21
│ │ │ │ ├── voptd9cy39
│ │ │ │ ├── voptdk6815
│ │ │ │ ├── voptdnma2d
│ │ │ │ ├── voptdr4d3m
│ │ │ │ ├── vopte340mi
│ │ │ │ ├── vopte5j2nt
│ │ │ │ ├── vopte725q2
│ │ │ │ ├── voptekbhm6
│ │ │ │ ├── voptentjne
│ │ │ │ ├── vopter9nqn
│ │ │ │ ├── voptf3999k
│ │ │ │ ├── voptf5rbaw
│ │ │ │ ├── voptf77eb4
│ │ │ │ ├── voptfi1r80
│ │ │ │ ├── voptfkgt98
│ │ │ │ ├── voptfnzwag
│ │ │ │ ├── voptfrezbr
│ │ │ │ ├── voptg3eixm
│ │ │ │ ├── voptg5xkyx
│ │ │ │ ├── voptg7cqz5
│ │ │ │ ├── voptgi61x1
│ │ │ │ ├── voptgkm3y9
│ │ │ │ ├── voptgn46zh
│ │ │ │ ├── vopth14sgf
│ │ │ │ ├── vopth3jvhq
│ │ │ │ ├── vopth52yiz
│ │ │ │ ├── vopthibah3
│ │ │ │ ├── vopthktcib
│ │ │ │ ├── vopthn9fjj
│ │ │ │ ├── vopti1925h
│ │ │ │ ├── vopti3r46s
│ │ │ │ ├── vopti57771
│ │ │ │ ├── voptiigj55
│ │ │ │ ├── voptikzm6d
│ │ │ │ ├── voptiner7m
│ │ │ │ ├── voptj1ebsi
│ │ │ │ ├── voptj3xdtt
│ │ │ │ ├── voptj5cgv2
│ │ │ │ ├── voptjg6try
│ │ │ │ ├── voptjimws6
│ │ │ │ ├── voptjk4zte
│ │ │ │ ├── voptk1jkdk
│ │ │ │ ├── voptk32qew
│ │ │ │ ├── voptk5hsf4
│ │ │ │ ├── voptkgb3d0
│ │ │ │ ├── voptkit5e8
│ │ │ │ ├── voptkk98fg
│ │ │ │ ├── voptm1rx1n
│ │ │ │ ├── voptm3703y
│ │ │ │ ├── voptmggc12
│ │ │ │ ├── voptmize2a
│ │ │ │ ├── voptmkeh3i
│ │ │ │ ├── voptmz8v0e
│ │ │ │ ├── voptn1x6nq
│ │ │ │ ├── voptn3c9qz
│ │ │ │ ├── voptngmmm3
│ │ │ │ ├── voptni4rnb
│ │ │ │ ├── voptnkjtqj
│ │ │ │ ├── voptnzd4mf
│ │ │ │ ├── voptq12gas
│ │ │ │ ├── voptq3hib1
│ │ │ │ ├── voptqebw8x
│ │ │ │ ├── voptqgty95
│ │ │ │ ├── voptqi91bd
│ │ │ │ ├── voptqzid9h
│ │ │ │ ├── voptr17syt
│ │ │ │ ├── voptr3nvz2
│ │ │ │ ├── voptreg5xy
│ │ │ │ ├── voptrgz7y6
│ │ │ │ ├── voptrieaze
│ │ │ │ ├── voptrzqnxi
│ │ │ │ ├── vopts1c2jw
│ │ │ │ ├── voptsemeh0
│ │ │ │ ├── voptsg4hi8
│ │ │ │ ├── voptsijjjg
│ │ │ │ ├── voptsxdxgc
│ │ │ │ ├── voptszwzhk
│ │ │ │ ├── voptt1hb7y
│ │ │ │ ├── vopttetq52
│ │ │ │ ├── vopttg9t6a
│ │ │ │ ├── vopttirw7i
│ │ │ │ ├── vopttxi65e
│ │ │ │ ├── vopttz196n
│ │ │ │ ├── voptv1nkvz
│ │ │ │ ├── voptvcgyrv
│ │ │ │ ├── voptvez0t3
│ │ │ │ ├── voptvge3vb
│ │ │ │ ├── voptvxqfsf
│ │ │ │ ├── voptvz6itq
│ │ │ │ ├── voptw1vxf1
│ │ │ │ ├── voptwcm7dx
│ │ │ │ ├── voptwe4ae5
│ │ │ │ ├── voptwgjcfd
│ │ │ │ ├── voptwvdnc9
│ │ │ │ ├── voptwxwrdh
│ │ │ │ ├── voptwzbves
│ │ │ │ ├── voptxctg1z
│ │ │ │ ├── voptxe9j27
│ │ │ │ ├── voptxgrm3f
│ │ │ │ ├── voptxviz0b
│ │ │ │ ├── voptxx122j
│ │ │ │ ├── voptxzg43v
│ │ │ │ ├── voptyagqkr
│ │ │ │ ├── voptyczsm0
│ │ │ │ ├── voptyeewn8
│ │ │ │ ├── voptygxyqg
│ │ │ │ ├── voptyvq8mc
│ │ │ │ ├── voptyx6bnk
│ │ │ │ ├── voptyzmdqw
│ │ │ │ ├── voptzam09t
│ │ │ │ ├── voptzc43a2
│ │ │ │ ├── voptzej5ba
│ │ │ │ ├── voptzvwh9e
│ │ │ │ ├── voptzxbkan
│ │ │ │ └── voptzztnby
│ │ │ ├── parallel_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── pll_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── scfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── signal_gen
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── sld_signaltap
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── sld_virtual_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── sld_virtual_jtag_basic
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixgx_dpa_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixiii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixiii_lvds_rx_channel
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixiii_lvds_rx_dpa
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixii_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratix_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratix_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixv_local_clk_divider
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stx_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stx_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stx_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── tri_bus
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ttn_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ttn_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ttn_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ ├── verilog_libs
│ │ │ ├── altera_lnsim_ver
│ │ │ │ ├── altera_arriavgz_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_arriav_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_cyclonev_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_generic_pll_functions
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_lnsim_functions
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @a@l@t@e@r@a_@l@n@s@i@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_mult_add
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_mult_add_rtl
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_pll_reconfig_tasks
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_stratixv_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_syncram
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_accumulator_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_adder_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_coef_reg_ext_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_data_split_reg_ext_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_dynamic_signed_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_latency_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_multiplier_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_preadder_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_register_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_register_with_ext_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_scanchain
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_signed_extension_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ama_systolic_adder_function
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── common_28nm_mlab_cell_core
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── common_28nm_mlab_cell_pulse_generator
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── common_28nm_mlab_latch
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── common_28nm_ram_block
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── common_28nm_ram_pulse_generator
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── common_28nm_ram_register
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── common_porta_latches
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── common_porta_registers
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dprio_init
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dps_extra_kick
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── generic_28nm_hp_mlab_cell_impl
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── generic_28nm_lc_mlab_cell_impl
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── generic_cdr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── generic_device_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── generic_m10k
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── generic_m20k
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── generic_mux
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── generic_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── _info
│ │ │ │ ├── pll_dps_lcell_comb
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── twentynm_iopll_ip
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ └── _vmake
│ │ │ ├── altera_mf_ver
│ │ │ │ ├── a_graycounter
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt3pram
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altaccumulate
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_aeq_s4
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_cal
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_cal_av
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_cal_c3gxb
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_cal_mm
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_cal_sv
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altclklock
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altddio_bidir
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altddio_in
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altddio_out
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_dfe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altdpram
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_std_synchronizer
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_std_synchronizer_bundle
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_eyemon
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altfp_mult
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altlvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altlvds_tx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altmult_accum
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altmult_add
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altparallel_flash_loader
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altpll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altserial_flash_loader
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altshift_taps
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altsource_probe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altsqrt
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altsquare
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altstratixii_oct
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altsyncram
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── arm_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── arm_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── arm_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cda_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cda_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cda_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiiigl_post_divider
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_async
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_dffpipe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_fefifo
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_low_latency
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_mixed_widths
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_sync
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dffp
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dummy_hub
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── flexible_lvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── flexible_lvds_tx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── _info
│ │ │ │ ├── jtag_tap_controller
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lcell
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_cycloneiiigl_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_cycloneiiigl_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_cycloneiiigl_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_cycloneiiigl_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_cycloneiii_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_pll_reg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_stratixiii_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_stratixii_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_stratix_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── parallel_add
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── pll_iobuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── scfifo
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── signal_gen
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── sld_signaltap
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── sld_virtual_jtag
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── sld_virtual_jtag_basic
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixgx_dpa_lvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixiii_lvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixiii_lvds_rx_channel
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixiii_lvds_rx_dpa
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixii_lvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixii_tx_outclk
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratix_lvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratix_tx_outclk
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixv_local_clk_divider
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stx_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stx_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stx_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ttn_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ttn_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ttn_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ └── _vmake
│ │ │ ├── altera_ver
│ │ │ │ ├── alt_bidir_buf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_bidir_diff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_inbuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_inbuf_diff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_iobuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_iobuf_diff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_outbuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_outbuf_diff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_outbuf_tri
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_outbuf_tri_diff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── carry
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── carry_sum
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cascade
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── clklock
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dffe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dffea
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dffeas
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dlatch
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── exp
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── global
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── _info
│ │ │ │ ├── jkff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── jkffe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── latch
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lut_input
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lut_output
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── opndrn
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── prim_gdff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @p@r@i@m_@g@d@f@f_@h@i@g@h
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @p@r@i@m_@g@d@f@f_@l@o@w
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── prim_gjkff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── prim_gsrff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── prim_gtff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── row_global
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── soft
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── srff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── srffe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── tff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── tffe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @t@r@i
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ └── _vmake
│ │ │ ├── cycloneive_ver
│ │ │ │ ├── cycloneive_and1
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_and16
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_apfcontroller
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_b17mux21
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_b5mux21
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_bmux21
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_clkctrl
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_crcblock
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_ddio_oe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_ddio_out
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_dffe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_ena_reg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_ff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_io_ibuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_io_obuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_io_pad
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_jtag
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_latch
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_lcell_comb
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_mac_data_reg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_mac_mult
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_mac_mult_internal
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_mac_out
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_mac_sign_reg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_mux21
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_mux41
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_nmux21
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_oscillator
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_pll_reg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e@a@s
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @c@y@c@l@o@n@e@i@v@e_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_pseudo_diff_out
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_ram_block
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_ram_pulse_generator
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_ram_register
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_routing_wire
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_rublock
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_termination
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_termination_ctrl
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneive_termination_rupdn
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── _info
│ │ │ │ └── _vmake
│ │ │ ├── lpm_ver
│ │ │ │ ├── _info
│ │ │ │ ├── lpm_abs
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_add_sub
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_and
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_bipad
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_bustri
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_clshift
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_compare
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_constant
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_counter
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_decode
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_divide
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_ff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_fifo
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_fifo_dc
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_fifo_dc_async
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_fifo_dc_dffpipe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_fifo_dc_fefifo
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_inpad
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_inv
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_latch
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_mult
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_mux
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_or
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_outpad
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_ram_dp
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_ram_dq
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_ram_io
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_rom
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_shiftreg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_xor
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ └── _vmake
│ │ │ └── sgate_ver
│ │ │ ├── _info
│ │ │ ├── io_buf_opdrn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── io_buf_tri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_addsub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_bus_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_decoder
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_div
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_left_shift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_less_than
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_mod
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_right_shift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_rotate_left
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_rotate_right
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_selector
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── tri_bus
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ └── vsim.wlf
│ └── velocity.log
├── fft_user_guide.pdf
├── FFT user guide -QII 的使用注意要点.pdf
├── FFT物理意义.docx
├── FT_IP核函数的使用(1)---V2.1.0IP核说明.pdf
└── 对DFT(FFT)的一些理解.doc
426 directories, 2069 files
标签:
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论