在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → FPGA图像采集 利用SDRAM作为缓存,ov7670采集,VGA显示

FPGA图像采集 利用SDRAM作为缓存,ov7670采集,VGA显示

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:94.38M
  • 下载次数:3
  • 浏览次数:166
  • 发布时间:2021-01-28
  • 实例类别:一般编程问题
  • 发 布 人:好学IT男
  • 文件格式:.zip
  • 所需积分:2
 

实例介绍

【实例简介】
利用SDRAM作为缓存,SDRAM模块为自己写的代码实现。利用OV7670采集,在VGA上显示流畅,清晰,这个资源为全部的工程文件,确保可以使用
【实例截图】
【核心代码】
091f2ca3-1a01-40b8-a0b0-88fb3bcdab37
└── ov7670_sdram_vga
├── doc
│   ├── sdram控制器接口框图.vsdx
│   └── sdram芯片框图.vsdx
├── pro
│   ├── db
│   │   ├── a_gray2bin_6ib.tdf
│   │   ├── a_gray2bin_7ib.tdf
│   │   ├── a_graycounter_1lc.tdf
│   │   ├── a_graycounter_2lc.tdf
│   │   ├── a_graycounter_577.tdf
│   │   ├── a_graycounter_677.tdf
│   │   ├── alt_synch_pipe_0e8.tdf
│   │   ├── alt_synch_pipe_qld.tdf
│   │   ├── alt_synch_pipe_rld.tdf
│   │   ├── alt_synch_pipe_sld.tdf
│   │   ├── alt_synch_pipe_tld.tdf
│   │   ├── alt_synch_pipe_vd8.tdf
│   │   ├── altsyncram_0124.tdf
│   │   ├── altsyncram_0224.tdf
│   │   ├── altsyncram_0u14.tdf
│   │   ├── altsyncram_1124.tdf
│   │   ├── altsyncram_1224.tdf
│   │   ├── altsyncram_2124.tdf
│   │   ├── altsyncram_2u14.tdf
│   │   ├── altsyncram_3124.tdf
│   │   ├── altsyncram_4124.tdf
│   │   ├── altsyncram_4u14.tdf
│   │   ├── altsyncram_5124.tdf
│   │   ├── altsyncram_6124.tdf
│   │   ├── altsyncram_6504.tdf
│   │   ├── altsyncram_6u14.tdf
│   │   ├── altsyncram_7124.tdf
│   │   ├── altsyncram_7sa1.tdf
│   │   ├── altsyncram_8124.tdf
│   │   ├── altsyncram_8504.tdf
│   │   ├── altsyncram_8u14.tdf
│   │   ├── altsyncram_9124.tdf
│   │   ├── altsyncram_9u14.tdf
│   │   ├── altsyncram_a124.tdf
│   │   ├── altsyncram_a504.tdf
│   │   ├── altsyncram_au14.tdf
│   │   ├── altsyncram_b124.tdf
│   │   ├── altsyncram_bu14.tdf
│   │   ├── altsyncram_c124.tdf
│   │   ├── altsyncram_c504.tdf
│   │   ├── altsyncram_cu14.tdf
│   │   ├── altsyncram_d124.tdf
│   │   ├── altsyncram_e124.tdf
│   │   ├── altsyncram_em31.tdf
│   │   ├── altsyncram_eu14.tdf
│   │   ├── altsyncram_f124.tdf
│   │   ├── altsyncram_g124.tdf
│   │   ├── altsyncram_g504.tdf
│   │   ├── altsyncram_h124.tdf
│   │   ├── altsyncram_i124.tdf
│   │   ├── altsyncram_j124.tdf
│   │   ├── altsyncram_k124.tdf
│   │   ├── altsyncram_k504.tdf
│   │   ├── altsyncram_l124.tdf
│   │   ├── altsyncram_m124.tdf
│   │   ├── altsyncram_n124.tdf
│   │   ├── altsyncram_o124.tdf
│   │   ├── altsyncram_p124.tdf
│   │   ├── altsyncram_q124.tdf
│   │   ├── altsyncram_r124.tdf
│   │   ├── altsyncram_s024.tdf
│   │   ├── altsyncram_s124.tdf
│   │   ├── altsyncram_t124.tdf
│   │   ├── altsyncram_u024.tdf
│   │   ├── altsyncram_u124.tdf
│   │   ├── altsyncram_uj31.tdf
│   │   ├── altsyncram_ut14.tdf
│   │   ├── altsyncram_v024.tdf
│   │   ├── altsyncram_v124.tdf
│   │   ├── cmpr_b66.tdf
│   │   ├── cmpr_c66.tdf
│   │   ├── cmpr_n76.tdf
│   │   ├── cmpr_ngc.tdf
│   │   ├── cmpr_o76.tdf
│   │   ├── cmpr_ogc.tdf
│   │   ├── cmpr_pgc.tdf
│   │   ├── cmpr_qgc.tdf
│   │   ├── cmpr_rgc.tdf
│   │   ├── cmpr_sgc.tdf
│   │   ├── cmpr_tgc.tdf
│   │   ├── cntr_0hi.tdf
│   │   ├── cntr_1fi.tdf
│   │   ├── cntr_23j.tdf
│   │   ├── cntr_9gi.tdf
│   │   ├── cntr_agi.tdf
│   │   ├── cntr_bbj.tdf
│   │   ├── cntr_bgi.tdf
│   │   ├── cntr_cgi.tdf
│   │   ├── cntr_dgi.tdf
│   │   ├── cntr_egi.tdf
│   │   ├── cntr_fgi.tdf
│   │   ├── cntr_g9j.tdf
│   │   ├── cntr_ggi.tdf
│   │   ├── cntr_hgi.tdf
│   │   ├── cntr_igi.tdf
│   │   ├── cntr_jgi.tdf
│   │   ├── cntr_kgi.tdf
│   │   ├── cntr_lgi.tdf
│   │   ├── cntr_m9j.tdf
│   │   ├── cntr_mgi.tdf
│   │   ├── cntr_ngi.tdf
│   │   ├── cntr_o9j.tdf
│   │   ├── cntr_ogi.tdf
│   │   ├── cntr_pei.tdf
│   │   ├── cntr_pgi.tdf
│   │   ├── cntr_qei.tdf
│   │   ├── cntr_qgi.tdf
│   │   ├── cntr_rgi.tdf
│   │   ├── cntr_sei.tdf
│   │   ├── cntr_sgi.tdf
│   │   ├── cntr_tei.tdf
│   │   ├── cntr_tgi.tdf
│   │   ├── cntr_uei.tdf
│   │   ├── cntr_ugi.tdf
│   │   ├── cntr_vei.tdf
│   │   ├── cntr_vgi.tdf
│   │   ├── dcfifo_5dn1.tdf
│   │   ├── dcfifo_7dn1.tdf
│   │   ├── dcfifo_89n1.tdf
│   │   ├── decode_dvf.tdf
│   │   ├── decode_jsa.tdf
│   │   ├── decode_msa.tdf
│   │   ├── decode_rsa.tdf
│   │   ├── decode_u9a.tdf
│   │   ├── dffpipe_oe9.tdf
│   │   ├── dffpipe_pe9.tdf
│   │   ├── dffpipe_qe9.tdf
│   │   ├── dffpipe_re9.tdf
│   │   ├── dffpipe_se9.tdf
│   │   ├── dffpipe_te9.tdf
│   │   ├── dffpipe_ue9.tdf
│   │   ├── dffpipe_ve9.tdf
│   │   ├── logic_util_heursitic.dat
│   │   ├── mux_0pb.tdf
│   │   ├── mux_1tc.tdf
│   │   ├── mux_4nb.tdf
│   │   ├── mux_4qb.tdf
│   │   ├── mux_dob.tdf
│   │   ├── mux_hob.tdf
│   │   ├── mux_j28.tdf
│   │   ├── mux_job.tdf
│   │   ├── mux_pob.tdf
│   │   ├── mux_qob.tdf
│   │   ├── mux_rob.tdf
│   │   ├── mux_rsc.tdf
│   │   ├── mux_smb.tdf
│   │   ├── mux_sob.tdf
│   │   ├── mux_tob.tdf
│   │   ├── mux_tsc.tdf
│   │   ├── mux_vsc.tdf
│   │   ├── pll_gen_altpll.v
│   │   ├── prev_cmp_sdram_2fifo.qmsg
│   │   ├── sdram_2fifo.(0).cnf.cdb
│   │   ├── sdram_2fifo.(0).cnf.hdb
│   │   ├── sdram_2fifo.(100).cnf.cdb
│   │   ├── sdram_2fifo.(100).cnf.hdb
│   │   ├── sdram_2fifo.(101).cnf.cdb
│   │   ├── sdram_2fifo.(101).cnf.hdb
│   │   ├── sdram_2fifo.(102).cnf.cdb
│   │   ├── sdram_2fifo.(102).cnf.hdb
│   │   ├── sdram_2fifo.(103).cnf.cdb
│   │   ├── sdram_2fifo.(103).cnf.hdb
│   │   ├── sdram_2fifo.(104).cnf.cdb
│   │   ├── sdram_2fifo.(104).cnf.hdb
│   │   ├── sdram_2fifo.(105).cnf.cdb
│   │   ├── sdram_2fifo.(105).cnf.hdb
│   │   ├── sdram_2fifo.(106).cnf.cdb
│   │   ├── sdram_2fifo.(106).cnf.hdb
│   │   ├── sdram_2fifo.(107).cnf.cdb
│   │   ├── sdram_2fifo.(107).cnf.hdb
│   │   ├── sdram_2fifo.(108).cnf.cdb
│   │   ├── sdram_2fifo.(108).cnf.hdb
│   │   ├── sdram_2fifo.(109).cnf.cdb
│   │   ├── sdram_2fifo.(109).cnf.hdb
│   │   ├── sdram_2fifo.(10).cnf.cdb
│   │   ├── sdram_2fifo.(10).cnf.hdb
│   │   ├── sdram_2fifo.(110).cnf.cdb
│   │   ├── sdram_2fifo.(110).cnf.hdb
│   │   ├── sdram_2fifo.(111).cnf.cdb
│   │   ├── sdram_2fifo.(111).cnf.hdb
│   │   ├── sdram_2fifo.(112).cnf.cdb
│   │   ├── sdram_2fifo.(112).cnf.hdb
│   │   ├── sdram_2fifo.(113).cnf.cdb
│   │   ├── sdram_2fifo.(113).cnf.hdb
│   │   ├── sdram_2fifo.(114).cnf.cdb
│   │   ├── sdram_2fifo.(114).cnf.hdb
│   │   ├── sdram_2fifo.(115).cnf.cdb
│   │   ├── sdram_2fifo.(115).cnf.hdb
│   │   ├── sdram_2fifo.(116).cnf.cdb
│   │   ├── sdram_2fifo.(116).cnf.hdb
│   │   ├── sdram_2fifo.(117).cnf.cdb
│   │   ├── sdram_2fifo.(117).cnf.hdb
│   │   ├── sdram_2fifo.(118).cnf.cdb
│   │   ├── sdram_2fifo.(118).cnf.hdb
│   │   ├── sdram_2fifo.(119).cnf.cdb
│   │   ├── sdram_2fifo.(119).cnf.hdb
│   │   ├── sdram_2fifo.(11).cnf.cdb
│   │   ├── sdram_2fifo.(11).cnf.hdb
│   │   ├── sdram_2fifo.(120).cnf.cdb
│   │   ├── sdram_2fifo.(120).cnf.hdb
│   │   ├── sdram_2fifo.(121).cnf.cdb
│   │   ├── sdram_2fifo.(121).cnf.hdb
│   │   ├── sdram_2fifo.(122).cnf.cdb
│   │   ├── sdram_2fifo.(122).cnf.hdb
│   │   ├── sdram_2fifo.(123).cnf.cdb
│   │   ├── sdram_2fifo.(123).cnf.hdb
│   │   ├── sdram_2fifo.(124).cnf.cdb
│   │   ├── sdram_2fifo.(124).cnf.hdb
│   │   ├── sdram_2fifo.(125).cnf.cdb
│   │   ├── sdram_2fifo.(125).cnf.hdb
│   │   ├── sdram_2fifo.(126).cnf.cdb
│   │   ├── sdram_2fifo.(126).cnf.hdb
│   │   ├── sdram_2fifo.(127).cnf.cdb
│   │   ├── sdram_2fifo.(127).cnf.hdb
│   │   ├── sdram_2fifo.(128).cnf.cdb
│   │   ├── sdram_2fifo.(128).cnf.hdb
│   │   ├── sdram_2fifo.(129).cnf.cdb
│   │   ├── sdram_2fifo.(129).cnf.hdb
│   │   ├── sdram_2fifo.(12).cnf.cdb
│   │   ├── sdram_2fifo.(12).cnf.hdb
│   │   ├── sdram_2fifo.(130).cnf.cdb
│   │   ├── sdram_2fifo.(130).cnf.hdb
│   │   ├── sdram_2fifo.(131).cnf.cdb
│   │   ├── sdram_2fifo.(131).cnf.hdb
│   │   ├── sdram_2fifo.(132).cnf.cdb
│   │   ├── sdram_2fifo.(132).cnf.hdb
│   │   ├── sdram_2fifo.(133).cnf.cdb
│   │   ├── sdram_2fifo.(133).cnf.hdb
│   │   ├── sdram_2fifo.(134).cnf.cdb
│   │   ├── sdram_2fifo.(134).cnf.hdb
│   │   ├── sdram_2fifo.(135).cnf.cdb
│   │   ├── sdram_2fifo.(135).cnf.hdb
│   │   ├── sdram_2fifo.(136).cnf.cdb
│   │   ├── sdram_2fifo.(136).cnf.hdb
│   │   ├── sdram_2fifo.(137).cnf.cdb
│   │   ├── sdram_2fifo.(137).cnf.hdb
│   │   ├── sdram_2fifo.(138).cnf.cdb
│   │   ├── sdram_2fifo.(138).cnf.hdb
│   │   ├── sdram_2fifo.(139).cnf.cdb
│   │   ├── sdram_2fifo.(139).cnf.hdb
│   │   ├── sdram_2fifo.(13).cnf.cdb
│   │   ├── sdram_2fifo.(13).cnf.hdb
│   │   ├── sdram_2fifo.(140).cnf.cdb
│   │   ├── sdram_2fifo.(140).cnf.hdb
│   │   ├── sdram_2fifo.(141).cnf.cdb
│   │   ├── sdram_2fifo.(141).cnf.hdb
│   │   ├── sdram_2fifo.(142).cnf.cdb
│   │   ├── sdram_2fifo.(142).cnf.hdb
│   │   ├── sdram_2fifo.(143).cnf.cdb
│   │   ├── sdram_2fifo.(143).cnf.hdb
│   │   ├── sdram_2fifo.(144).cnf.cdb
│   │   ├── sdram_2fifo.(144).cnf.hdb
│   │   ├── sdram_2fifo.(145).cnf.cdb
│   │   ├── sdram_2fifo.(145).cnf.hdb
│   │   ├── sdram_2fifo.(146).cnf.cdb
│   │   ├── sdram_2fifo.(146).cnf.hdb
│   │   ├── sdram_2fifo.(147).cnf.cdb
│   │   ├── sdram_2fifo.(147).cnf.hdb
│   │   ├── sdram_2fifo.(148).cnf.cdb
│   │   ├── sdram_2fifo.(148).cnf.hdb
│   │   ├── sdram_2fifo.(149).cnf.cdb
│   │   ├── sdram_2fifo.(149).cnf.hdb
│   │   ├── sdram_2fifo.(14).cnf.cdb
│   │   ├── sdram_2fifo.(14).cnf.hdb
│   │   ├── sdram_2fifo.(150).cnf.cdb
│   │   ├── sdram_2fifo.(150).cnf.hdb
│   │   ├── sdram_2fifo.(151).cnf.cdb
│   │   ├── sdram_2fifo.(151).cnf.hdb
│   │   ├── sdram_2fifo.(152).cnf.cdb
│   │   ├── sdram_2fifo.(152).cnf.hdb
│   │   ├── sdram_2fifo.(153).cnf.cdb
│   │   ├── sdram_2fifo.(153).cnf.hdb
│   │   ├── sdram_2fifo.(154).cnf.cdb
│   │   ├── sdram_2fifo.(154).cnf.hdb
│   │   ├── sdram_2fifo.(155).cnf.cdb
│   │   ├── sdram_2fifo.(155).cnf.hdb
│   │   ├── sdram_2fifo.(156).cnf.cdb
│   │   ├── sdram_2fifo.(156).cnf.hdb
│   │   ├── sdram_2fifo.(157).cnf.cdb
│   │   ├── sdram_2fifo.(157).cnf.hdb
│   │   ├── sdram_2fifo.(158).cnf.cdb
│   │   ├── sdram_2fifo.(158).cnf.hdb
│   │   ├── sdram_2fifo.(159).cnf.cdb
│   │   ├── sdram_2fifo.(159).cnf.hdb
│   │   ├── sdram_2fifo.(15).cnf.cdb
│   │   ├── sdram_2fifo.(15).cnf.hdb
│   │   ├── sdram_2fifo.(160).cnf.cdb
│   │   ├── sdram_2fifo.(160).cnf.hdb
│   │   ├── sdram_2fifo.(161).cnf.cdb
│   │   ├── sdram_2fifo.(161).cnf.hdb
│   │   ├── sdram_2fifo.(162).cnf.cdb
│   │   ├── sdram_2fifo.(162).cnf.hdb
│   │   ├── sdram_2fifo.(163).cnf.cdb
│   │   ├── sdram_2fifo.(163).cnf.hdb
│   │   ├── sdram_2fifo.(164).cnf.cdb
│   │   ├── sdram_2fifo.(164).cnf.hdb
│   │   ├── sdram_2fifo.(165).cnf.cdb
│   │   ├── sdram_2fifo.(165).cnf.hdb
│   │   ├── sdram_2fifo.(166).cnf.cdb
│   │   ├── sdram_2fifo.(166).cnf.hdb
│   │   ├── sdram_2fifo.(167).cnf.cdb
│   │   ├── sdram_2fifo.(167).cnf.hdb
│   │   ├── sdram_2fifo.(168).cnf.cdb
│   │   ├── sdram_2fifo.(168).cnf.hdb
│   │   ├── sdram_2fifo.(169).cnf.cdb
│   │   ├── sdram_2fifo.(169).cnf.hdb
│   │   ├── sdram_2fifo.(16).cnf.cdb
│   │   ├── sdram_2fifo.(16).cnf.hdb
│   │   ├── sdram_2fifo.(170).cnf.cdb
│   │   ├── sdram_2fifo.(170).cnf.hdb
│   │   ├── sdram_2fifo.(171).cnf.cdb
│   │   ├── sdram_2fifo.(171).cnf.hdb
│   │   ├── sdram_2fifo.(172).cnf.cdb
│   │   ├── sdram_2fifo.(172).cnf.hdb
│   │   ├── sdram_2fifo.(173).cnf.cdb
│   │   ├── sdram_2fifo.(173).cnf.hdb
│   │   ├── sdram_2fifo.(174).cnf.cdb
│   │   ├── sdram_2fifo.(174).cnf.hdb
│   │   ├── sdram_2fifo.(175).cnf.cdb
│   │   ├── sdram_2fifo.(175).cnf.hdb
│   │   ├── sdram_2fifo.(176).cnf.cdb
│   │   ├── sdram_2fifo.(176).cnf.hdb
│   │   ├── sdram_2fifo.(177).cnf.cdb
│   │   ├── sdram_2fifo.(177).cnf.hdb
│   │   ├── sdram_2fifo.(178).cnf.cdb
│   │   ├── sdram_2fifo.(178).cnf.hdb
│   │   ├── sdram_2fifo.(179).cnf.cdb
│   │   ├── sdram_2fifo.(179).cnf.hdb
│   │   ├── sdram_2fifo.(17).cnf.cdb
│   │   ├── sdram_2fifo.(17).cnf.hdb
│   │   ├── sdram_2fifo.(180).cnf.cdb
│   │   ├── sdram_2fifo.(180).cnf.hdb
│   │   ├── sdram_2fifo.(181).cnf.cdb
│   │   ├── sdram_2fifo.(181).cnf.hdb
│   │   ├── sdram_2fifo.(182).cnf.cdb
│   │   ├── sdram_2fifo.(182).cnf.hdb
│   │   ├── sdram_2fifo.(183).cnf.cdb
│   │   ├── sdram_2fifo.(183).cnf.hdb
│   │   ├── sdram_2fifo.(184).cnf.cdb
│   │   ├── sdram_2fifo.(184).cnf.hdb
│   │   ├── sdram_2fifo.(185).cnf.cdb
│   │   ├── sdram_2fifo.(185).cnf.hdb
│   │   ├── sdram_2fifo.(186).cnf.cdb
│   │   ├── sdram_2fifo.(186).cnf.hdb
│   │   ├── sdram_2fifo.(187).cnf.cdb
│   │   ├── sdram_2fifo.(187).cnf.hdb
│   │   ├── sdram_2fifo.(188).cnf.cdb
│   │   ├── sdram_2fifo.(188).cnf.hdb
│   │   ├── sdram_2fifo.(189).cnf.cdb
│   │   ├── sdram_2fifo.(189).cnf.hdb
│   │   ├── sdram_2fifo.(18).cnf.cdb
│   │   ├── sdram_2fifo.(18).cnf.hdb
│   │   ├── sdram_2fifo.(190).cnf.cdb
│   │   ├── sdram_2fifo.(190).cnf.hdb
│   │   ├── sdram_2fifo.(191).cnf.cdb
│   │   ├── sdram_2fifo.(191).cnf.hdb
│   │   ├── sdram_2fifo.(192).cnf.cdb
│   │   ├── sdram_2fifo.(192).cnf.hdb
│   │   ├── sdram_2fifo.(193).cnf.cdb
│   │   ├── sdram_2fifo.(193).cnf.hdb
│   │   ├── sdram_2fifo.(194).cnf.cdb
│   │   ├── sdram_2fifo.(194).cnf.hdb
│   │   ├── sdram_2fifo.(195).cnf.cdb
│   │   ├── sdram_2fifo.(195).cnf.hdb
│   │   ├── sdram_2fifo.(196).cnf.cdb
│   │   ├── sdram_2fifo.(196).cnf.hdb
│   │   ├── sdram_2fifo.(197).cnf.cdb
│   │   ├── sdram_2fifo.(197).cnf.hdb
│   │   ├── sdram_2fifo.(198).cnf.cdb
│   │   ├── sdram_2fifo.(198).cnf.hdb
│   │   ├── sdram_2fifo.(199).cnf.cdb
│   │   ├── sdram_2fifo.(199).cnf.hdb
│   │   ├── sdram_2fifo.(19).cnf.cdb
│   │   ├── sdram_2fifo.(19).cnf.hdb
│   │   ├── sdram_2fifo.(1).cnf.cdb
│   │   ├── sdram_2fifo.(1).cnf.hdb
│   │   ├── sdram_2fifo.(200).cnf.cdb
│   │   ├── sdram_2fifo.(200).cnf.hdb
│   │   ├── sdram_2fifo.(201).cnf.cdb
│   │   ├── sdram_2fifo.(201).cnf.hdb
│   │   ├── sdram_2fifo.(202).cnf.cdb
│   │   ├── sdram_2fifo.(202).cnf.hdb
│   │   ├── sdram_2fifo.(203).cnf.cdb
│   │   ├── sdram_2fifo.(203).cnf.hdb
│   │   ├── sdram_2fifo.(204).cnf.cdb
│   │   ├── sdram_2fifo.(204).cnf.hdb
│   │   ├── sdram_2fifo.(205).cnf.cdb
│   │   ├── sdram_2fifo.(205).cnf.hdb
│   │   ├── sdram_2fifo.(206).cnf.cdb
│   │   ├── sdram_2fifo.(206).cnf.hdb
│   │   ├── sdram_2fifo.(207).cnf.cdb
│   │   ├── sdram_2fifo.(207).cnf.hdb
│   │   ├── sdram_2fifo.(208).cnf.cdb
│   │   ├── sdram_2fifo.(208).cnf.hdb
│   │   ├── sdram_2fifo.(209).cnf.cdb
│   │   ├── sdram_2fifo.(209).cnf.hdb
│   │   ├── sdram_2fifo.(20).cnf.cdb
│   │   ├── sdram_2fifo.(20).cnf.hdb
│   │   ├── sdram_2fifo.(210).cnf.cdb
│   │   ├── sdram_2fifo.(210).cnf.hdb
│   │   ├── sdram_2fifo.(211).cnf.cdb
│   │   ├── sdram_2fifo.(211).cnf.hdb
│   │   ├── sdram_2fifo.(212).cnf.cdb
│   │   ├── sdram_2fifo.(212).cnf.hdb
│   │   ├── sdram_2fifo.(213).cnf.cdb
│   │   ├── sdram_2fifo.(213).cnf.hdb
│   │   ├── sdram_2fifo.(214).cnf.cdb
│   │   ├── sdram_2fifo.(214).cnf.hdb
│   │   ├── sdram_2fifo.(215).cnf.cdb
│   │   ├── sdram_2fifo.(215).cnf.hdb
│   │   ├── sdram_2fifo.(216).cnf.cdb
│   │   ├── sdram_2fifo.(216).cnf.hdb
│   │   ├── sdram_2fifo.(217).cnf.cdb
│   │   ├── sdram_2fifo.(217).cnf.hdb
│   │   ├── sdram_2fifo.(218).cnf.cdb
│   │   ├── sdram_2fifo.(218).cnf.hdb
│   │   ├── sdram_2fifo.(219).cnf.cdb
│   │   ├── sdram_2fifo.(219).cnf.hdb
│   │   ├── sdram_2fifo.(21).cnf.cdb
│   │   ├── sdram_2fifo.(21).cnf.hdb
│   │   ├── sdram_2fifo.(220).cnf.cdb
│   │   ├── sdram_2fifo.(220).cnf.hdb
│   │   ├── sdram_2fifo.(221).cnf.cdb
│   │   ├── sdram_2fifo.(221).cnf.hdb
│   │   ├── sdram_2fifo.(222).cnf.cdb
│   │   ├── sdram_2fifo.(222).cnf.hdb
│   │   ├── sdram_2fifo.(223).cnf.cdb
│   │   ├── sdram_2fifo.(223).cnf.hdb
│   │   ├── sdram_2fifo.(224).cnf.cdb
│   │   ├── sdram_2fifo.(224).cnf.hdb
│   │   ├── sdram_2fifo.(225).cnf.cdb
│   │   ├── sdram_2fifo.(225).cnf.hdb
│   │   ├── sdram_2fifo.(226).cnf.cdb
│   │   ├── sdram_2fifo.(226).cnf.hdb
│   │   ├── sdram_2fifo.(227).cnf.cdb
│   │   ├── sdram_2fifo.(227).cnf.hdb
│   │   ├── sdram_2fifo.(228).cnf.cdb
│   │   ├── sdram_2fifo.(228).cnf.hdb
│   │   ├── sdram_2fifo.(229).cnf.cdb
│   │   ├── sdram_2fifo.(229).cnf.hdb
│   │   ├── sdram_2fifo.(22).cnf.cdb
│   │   ├── sdram_2fifo.(22).cnf.hdb
│   │   ├── sdram_2fifo.(230).cnf.cdb
│   │   ├── sdram_2fifo.(230).cnf.hdb
│   │   ├── sdram_2fifo.(231).cnf.cdb
│   │   ├── sdram_2fifo.(231).cnf.hdb
│   │   ├── sdram_2fifo.(232).cnf.cdb
│   │   ├── sdram_2fifo.(232).cnf.hdb
│   │   ├── sdram_2fifo.(233).cnf.cdb
│   │   ├── sdram_2fifo.(233).cnf.hdb
│   │   ├── sdram_2fifo.(234).cnf.cdb
│   │   ├── sdram_2fifo.(234).cnf.hdb
│   │   ├── sdram_2fifo.(235).cnf.cdb
│   │   ├── sdram_2fifo.(235).cnf.hdb
│   │   ├── sdram_2fifo.(236).cnf.cdb
│   │   ├── sdram_2fifo.(236).cnf.hdb
│   │   ├── sdram_2fifo.(237).cnf.cdb
│   │   ├── sdram_2fifo.(237).cnf.hdb
│   │   ├── sdram_2fifo.(238).cnf.cdb
│   │   ├── sdram_2fifo.(238).cnf.hdb
│   │   ├── sdram_2fifo.(239).cnf.cdb
│   │   ├── sdram_2fifo.(239).cnf.hdb
│   │   ├── sdram_2fifo.(23).cnf.cdb
│   │   ├── sdram_2fifo.(23).cnf.hdb
│   │   ├── sdram_2fifo.(240).cnf.cdb
│   │   ├── sdram_2fifo.(240).cnf.hdb
│   │   ├── sdram_2fifo.(241).cnf.cdb
│   │   ├── sdram_2fifo.(241).cnf.hdb
│   │   ├── sdram_2fifo.(242).cnf.cdb
│   │   ├── sdram_2fifo.(242).cnf.hdb
│   │   ├── sdram_2fifo.(243).cnf.cdb
│   │   ├── sdram_2fifo.(243).cnf.hdb
│   │   ├── sdram_2fifo.(244).cnf.cdb
│   │   ├── sdram_2fifo.(244).cnf.hdb
│   │   ├── sdram_2fifo.(245).cnf.cdb
│   │   ├── sdram_2fifo.(245).cnf.hdb
│   │   ├── sdram_2fifo.(246).cnf.cdb
│   │   ├── sdram_2fifo.(246).cnf.hdb
│   │   ├── sdram_2fifo.(247).cnf.cdb
│   │   ├── sdram_2fifo.(247).cnf.hdb
│   │   ├── sdram_2fifo.(248).cnf.cdb
│   │   ├── sdram_2fifo.(248).cnf.hdb
│   │   ├── sdram_2fifo.(249).cnf.cdb
│   │   ├── sdram_2fifo.(249).cnf.hdb
│   │   ├── sdram_2fifo.(24).cnf.cdb
│   │   ├── sdram_2fifo.(24).cnf.hdb
│   │   ├── sdram_2fifo.(250).cnf.cdb
│   │   ├── sdram_2fifo.(250).cnf.hdb
│   │   ├── sdram_2fifo.(251).cnf.cdb
│   │   ├── sdram_2fifo.(251).cnf.hdb
│   │   ├── sdram_2fifo.(252).cnf.cdb
│   │   ├── sdram_2fifo.(252).cnf.hdb
│   │   ├── sdram_2fifo.(253).cnf.cdb
│   │   ├── sdram_2fifo.(253).cnf.hdb
│   │   ├── sdram_2fifo.(254).cnf.cdb
│   │   ├── sdram_2fifo.(254).cnf.hdb
│   │   ├── sdram_2fifo.(255).cnf.cdb
│   │   ├── sdram_2fifo.(255).cnf.hdb
│   │   ├── sdram_2fifo.(256).cnf.cdb
│   │   ├── sdram_2fifo.(256).cnf.hdb
│   │   ├── sdram_2fifo.(257).cnf.cdb
│   │   ├── sdram_2fifo.(257).cnf.hdb
│   │   ├── sdram_2fifo.(258).cnf.cdb
│   │   ├── sdram_2fifo.(258).cnf.hdb
│   │   ├── sdram_2fifo.(259).cnf.cdb
│   │   ├── sdram_2fifo.(259).cnf.hdb
│   │   ├── sdram_2fifo.(25).cnf.cdb
│   │   ├── sdram_2fifo.(25).cnf.hdb
│   │   ├── sdram_2fifo.(260).cnf.cdb
│   │   ├── sdram_2fifo.(260).cnf.hdb
│   │   ├── sdram_2fifo.(261).cnf.cdb
│   │   ├── sdram_2fifo.(261).cnf.hdb
│   │   ├── sdram_2fifo.(262).cnf.cdb
│   │   ├── sdram_2fifo.(262).cnf.hdb
│   │   ├── sdram_2fifo.(263).cnf.cdb
│   │   ├── sdram_2fifo.(263).cnf.hdb
│   │   ├── sdram_2fifo.(264).cnf.cdb
│   │   ├── sdram_2fifo.(264).cnf.hdb
│   │   ├── sdram_2fifo.(265).cnf.cdb
│   │   ├── sdram_2fifo.(265).cnf.hdb
│   │   ├── sdram_2fifo.(266).cnf.cdb
│   │   ├── sdram_2fifo.(266).cnf.hdb
│   │   ├── sdram_2fifo.(267).cnf.cdb
│   │   ├── sdram_2fifo.(267).cnf.hdb
│   │   ├── sdram_2fifo.(268).cnf.cdb
│   │   ├── sdram_2fifo.(268).cnf.hdb
│   │   ├── sdram_2fifo.(269).cnf.cdb
│   │   ├── sdram_2fifo.(269).cnf.hdb
│   │   ├── sdram_2fifo.(26).cnf.cdb
│   │   ├── sdram_2fifo.(26).cnf.hdb
│   │   ├── sdram_2fifo.(270).cnf.cdb
│   │   ├── sdram_2fifo.(270).cnf.hdb
│   │   ├── sdram_2fifo.(271).cnf.cdb
│   │   ├── sdram_2fifo.(271).cnf.hdb
│   │   ├── sdram_2fifo.(272).cnf.cdb
│   │   ├── sdram_2fifo.(272).cnf.hdb
│   │   ├── sdram_2fifo.(273).cnf.cdb
│   │   ├── sdram_2fifo.(273).cnf.hdb
│   │   ├── sdram_2fifo.(274).cnf.cdb
│   │   ├── sdram_2fifo.(274).cnf.hdb
│   │   ├── sdram_2fifo.(275).cnf.cdb
│   │   ├── sdram_2fifo.(275).cnf.hdb
│   │   ├── sdram_2fifo.(276).cnf.cdb
│   │   ├── sdram_2fifo.(276).cnf.hdb
│   │   ├── sdram_2fifo.(277).cnf.cdb
│   │   ├── sdram_2fifo.(277).cnf.hdb
│   │   ├── sdram_2fifo.(278).cnf.cdb
│   │   ├── sdram_2fifo.(278).cnf.hdb
│   │   ├── sdram_2fifo.(279).cnf.cdb
│   │   ├── sdram_2fifo.(279).cnf.hdb
│   │   ├── sdram_2fifo.(27).cnf.cdb
│   │   ├── sdram_2fifo.(27).cnf.hdb
│   │   ├── sdram_2fifo.(280).cnf.cdb
│   │   ├── sdram_2fifo.(280).cnf.hdb
│   │   ├── sdram_2fifo.(281).cnf.cdb
│   │   ├── sdram_2fifo.(281).cnf.hdb
│   │   ├── sdram_2fifo.(282).cnf.cdb
│   │   ├── sdram_2fifo.(282).cnf.hdb
│   │   ├── sdram_2fifo.(283).cnf.cdb
│   │   ├── sdram_2fifo.(283).cnf.hdb
│   │   ├── sdram_2fifo.(284).cnf.cdb
│   │   ├── sdram_2fifo.(284).cnf.hdb
│   │   ├── sdram_2fifo.(285).cnf.cdb
│   │   ├── sdram_2fifo.(285).cnf.hdb
│   │   ├── sdram_2fifo.(286).cnf.cdb
│   │   ├── sdram_2fifo.(286).cnf.hdb
│   │   ├── sdram_2fifo.(287).cnf.cdb
│   │   ├── sdram_2fifo.(287).cnf.hdb
│   │   ├── sdram_2fifo.(288).cnf.cdb
│   │   ├── sdram_2fifo.(288).cnf.hdb
│   │   ├── sdram_2fifo.(289).cnf.cdb
│   │   ├── sdram_2fifo.(289).cnf.hdb
│   │   ├── sdram_2fifo.(28).cnf.cdb
│   │   ├── sdram_2fifo.(28).cnf.hdb
│   │   ├── sdram_2fifo.(290).cnf.cdb
│   │   ├── sdram_2fifo.(290).cnf.hdb
│   │   ├── sdram_2fifo.(291).cnf.cdb
│   │   ├── sdram_2fifo.(291).cnf.hdb
│   │   ├── sdram_2fifo.(292).cnf.cdb
│   │   ├── sdram_2fifo.(292).cnf.hdb
│   │   ├── sdram_2fifo.(293).cnf.cdb
│   │   ├── sdram_2fifo.(293).cnf.hdb
│   │   ├── sdram_2fifo.(294).cnf.cdb
│   │   ├── sdram_2fifo.(294).cnf.hdb
│   │   ├── sdram_2fifo.(295).cnf.cdb
│   │   ├── sdram_2fifo.(295).cnf.hdb
│   │   ├── sdram_2fifo.(296).cnf.cdb
│   │   ├── sdram_2fifo.(296).cnf.hdb
│   │   ├── sdram_2fifo.(297).cnf.cdb
│   │   ├── sdram_2fifo.(297).cnf.hdb
│   │   ├── sdram_2fifo.(298).cnf.cdb
│   │   ├── sdram_2fifo.(298).cnf.hdb
│   │   ├── sdram_2fifo.(299).cnf.cdb
│   │   ├── sdram_2fifo.(299).cnf.hdb
│   │   ├── sdram_2fifo.(29).cnf.cdb
│   │   ├── sdram_2fifo.(29).cnf.hdb
│   │   ├── sdram_2fifo.(2).cnf.cdb
│   │   ├── sdram_2fifo.(2).cnf.hdb
│   │   ├── sdram_2fifo.(300).cnf.cdb
│   │   ├── sdram_2fifo.(300).cnf.hdb
│   │   ├── sdram_2fifo.(301).cnf.cdb
│   │   ├── sdram_2fifo.(301).cnf.hdb
│   │   ├── sdram_2fifo.(302).cnf.cdb
│   │   ├── sdram_2fifo.(302).cnf.hdb
│   │   ├── sdram_2fifo.(303).cnf.cdb
│   │   ├── sdram_2fifo.(303).cnf.hdb
│   │   ├── sdram_2fifo.(304).cnf.cdb
│   │   ├── sdram_2fifo.(304).cnf.hdb
│   │   ├── sdram_2fifo.(305).cnf.cdb
│   │   ├── sdram_2fifo.(305).cnf.hdb
│   │   ├── sdram_2fifo.(306).cnf.cdb
│   │   ├── sdram_2fifo.(306).cnf.hdb
│   │   ├── sdram_2fifo.(307).cnf.cdb
│   │   ├── sdram_2fifo.(307).cnf.hdb
│   │   ├── sdram_2fifo.(308).cnf.cdb
│   │   ├── sdram_2fifo.(308).cnf.hdb
│   │   ├── sdram_2fifo.(309).cnf.cdb
│   │   ├── sdram_2fifo.(309).cnf.hdb
│   │   ├── sdram_2fifo.(30).cnf.cdb
│   │   ├── sdram_2fifo.(30).cnf.hdb
│   │   ├── sdram_2fifo.(310).cnf.cdb
│   │   ├── sdram_2fifo.(310).cnf.hdb
│   │   ├── sdram_2fifo.(31).cnf.cdb
│   │   ├── sdram_2fifo.(31).cnf.hdb
│   │   ├── sdram_2fifo.(32).cnf.cdb
│   │   ├── sdram_2fifo.(32).cnf.hdb
│   │   ├── sdram_2fifo.(33).cnf.cdb
│   │   ├── sdram_2fifo.(33).cnf.hdb
│   │   ├── sdram_2fifo.(34).cnf.cdb
│   │   ├── sdram_2fifo.(34).cnf.hdb
│   │   ├── sdram_2fifo.(35).cnf.cdb
│   │   ├── sdram_2fifo.(35).cnf.hdb
│   │   ├── sdram_2fifo.(36).cnf.cdb
│   │   ├── sdram_2fifo.(36).cnf.hdb
│   │   ├── sdram_2fifo.(37).cnf.cdb
│   │   ├── sdram_2fifo.(37).cnf.hdb
│   │   ├── sdram_2fifo.(38).cnf.cdb
│   │   ├── sdram_2fifo.(38).cnf.hdb
│   │   ├── sdram_2fifo.(39).cnf.cdb
│   │   ├── sdram_2fifo.(39).cnf.hdb
│   │   ├── sdram_2fifo.(3).cnf.cdb
│   │   ├── sdram_2fifo.(3).cnf.hdb
│   │   ├── sdram_2fifo.(40).cnf.cdb
│   │   ├── sdram_2fifo.(40).cnf.hdb
│   │   ├── sdram_2fifo.(41).cnf.cdb
│   │   ├── sdram_2fifo.(41).cnf.hdb
│   │   ├── sdram_2fifo.(42).cnf.cdb
│   │   ├── sdram_2fifo.(42).cnf.hdb
│   │   ├── sdram_2fifo.(43).cnf.cdb
│   │   ├── sdram_2fifo.(43).cnf.hdb
│   │   ├── sdram_2fifo.(44).cnf.cdb
│   │   ├── sdram_2fifo.(44).cnf.hdb
│   │   ├── sdram_2fifo.(45).cnf.cdb
│   │   ├── sdram_2fifo.(45).cnf.hdb
│   │   ├── sdram_2fifo.(46).cnf.cdb
│   │   ├── sdram_2fifo.(46).cnf.hdb
│   │   ├── sdram_2fifo.(47).cnf.cdb
│   │   ├── sdram_2fifo.(47).cnf.hdb
│   │   ├── sdram_2fifo.(48).cnf.cdb
│   │   ├── sdram_2fifo.(48).cnf.hdb
│   │   ├── sdram_2fifo.(49).cnf.cdb
│   │   ├── sdram_2fifo.(49).cnf.hdb
│   │   ├── sdram_2fifo.(4).cnf.cdb
│   │   ├── sdram_2fifo.(4).cnf.hdb
│   │   ├── sdram_2fifo.(50).cnf.cdb
│   │   ├── sdram_2fifo.(50).cnf.hdb
│   │   ├── sdram_2fifo.(51).cnf.cdb
│   │   ├── sdram_2fifo.(51).cnf.hdb
│   │   ├── sdram_2fifo.(52).cnf.cdb
│   │   ├── sdram_2fifo.(52).cnf.hdb
│   │   ├── sdram_2fifo.(53).cnf.cdb
│   │   ├── sdram_2fifo.(53).cnf.hdb
│   │   ├── sdram_2fifo.(54).cnf.cdb
│   │   ├── sdram_2fifo.(54).cnf.hdb
│   │   ├── sdram_2fifo.(55).cnf.cdb
│   │   ├── sdram_2fifo.(55).cnf.hdb
│   │   ├── sdram_2fifo.(56).cnf.cdb
│   │   ├── sdram_2fifo.(56).cnf.hdb
│   │   ├── sdram_2fifo.(57).cnf.cdb
│   │   ├── sdram_2fifo.(57).cnf.hdb
│   │   ├── sdram_2fifo.(58).cnf.cdb
│   │   ├── sdram_2fifo.(58).cnf.hdb
│   │   ├── sdram_2fifo.(59).cnf.cdb
│   │   ├── sdram_2fifo.(59).cnf.hdb
│   │   ├── sdram_2fifo.(5).cnf.cdb
│   │   ├── sdram_2fifo.(5).cnf.hdb
│   │   ├── sdram_2fifo.(60).cnf.cdb
│   │   ├── sdram_2fifo.(60).cnf.hdb
│   │   ├── sdram_2fifo.(61).cnf.cdb
│   │   ├── sdram_2fifo.(61).cnf.hdb
│   │   ├── sdram_2fifo.(62).cnf.cdb
│   │   ├── sdram_2fifo.(62).cnf.hdb
│   │   ├── sdram_2fifo.(63).cnf.cdb
│   │   ├── sdram_2fifo.(63).cnf.hdb
│   │   ├── sdram_2fifo.(64).cnf.cdb
│   │   ├── sdram_2fifo.(64).cnf.hdb
│   │   ├── sdram_2fifo.(65).cnf.cdb
│   │   ├── sdram_2fifo.(65).cnf.hdb
│   │   ├── sdram_2fifo.(66).cnf.cdb
│   │   ├── sdram_2fifo.(66).cnf.hdb
│   │   ├── sdram_2fifo.(67).cnf.cdb
│   │   ├── sdram_2fifo.(67).cnf.hdb
│   │   ├── sdram_2fifo.(68).cnf.cdb
│   │   ├── sdram_2fifo.(68).cnf.hdb
│   │   ├── sdram_2fifo.(69).cnf.cdb
│   │   ├── sdram_2fifo.(69).cnf.hdb
│   │   ├── sdram_2fifo.(6).cnf.cdb
│   │   ├── sdram_2fifo.(6).cnf.hdb
│   │   ├── sdram_2fifo.(70).cnf.cdb
│   │   ├── sdram_2fifo.(70).cnf.hdb
│   │   ├── sdram_2fifo.(71).cnf.cdb
│   │   ├── sdram_2fifo.(71).cnf.hdb
│   │   ├── sdram_2fifo.(72).cnf.cdb
│   │   ├── sdram_2fifo.(72).cnf.hdb
│   │   ├── sdram_2fifo.(73).cnf.cdb
│   │   ├── sdram_2fifo.(73).cnf.hdb
│   │   ├── sdram_2fifo.(74).cnf.cdb
│   │   ├── sdram_2fifo.(74).cnf.hdb
│   │   ├── sdram_2fifo.(75).cnf.cdb
│   │   ├── sdram_2fifo.(75).cnf.hdb
│   │   ├── sdram_2fifo.(76).cnf.cdb
│   │   ├── sdram_2fifo.(76).cnf.hdb
│   │   ├── sdram_2fifo.(77).cnf.cdb
│   │   ├── sdram_2fifo.(77).cnf.hdb
│   │   ├── sdram_2fifo.(78).cnf.cdb
│   │   ├── sdram_2fifo.(78).cnf.hdb
│   │   ├── sdram_2fifo.(79).cnf.cdb
│   │   ├── sdram_2fifo.(79).cnf.hdb
│   │   ├── sdram_2fifo.(7).cnf.cdb
│   │   ├── sdram_2fifo.(7).cnf.hdb
│   │   ├── sdram_2fifo.(80).cnf.cdb
│   │   ├── sdram_2fifo.(80).cnf.hdb
│   │   ├── sdram_2fifo.(81).cnf.cdb
│   │   ├── sdram_2fifo.(81).cnf.hdb
│   │   ├── sdram_2fifo.(82).cnf.cdb
│   │   ├── sdram_2fifo.(82).cnf.hdb
│   │   ├── sdram_2fifo.(83).cnf.cdb
│   │   ├── sdram_2fifo.(83).cnf.hdb
│   │   ├── sdram_2fifo.(84).cnf.cdb
│   │   ├── sdram_2fifo.(84).cnf.hdb
│   │   ├── sdram_2fifo.(85).cnf.cdb
│   │   ├── sdram_2fifo.(85).cnf.hdb
│   │   ├── sdram_2fifo.(86).cnf.cdb
│   │   ├── sdram_2fifo.(86).cnf.hdb
│   │   ├── sdram_2fifo.(87).cnf.cdb
│   │   ├── sdram_2fifo.(87).cnf.hdb
│   │   ├── sdram_2fifo.(88).cnf.cdb
│   │   ├── sdram_2fifo.(88).cnf.hdb
│   │   ├── sdram_2fifo.(89).cnf.cdb
│   │   ├── sdram_2fifo.(89).cnf.hdb
│   │   ├── sdram_2fifo.(8).cnf.cdb
│   │   ├── sdram_2fifo.(8).cnf.hdb
│   │   ├── sdram_2fifo.(90).cnf.cdb
│   │   ├── sdram_2fifo.(90).cnf.hdb
│   │   ├── sdram_2fifo.(91).cnf.cdb
│   │   ├── sdram_2fifo.(91).cnf.hdb
│   │   ├── sdram_2fifo.(92).cnf.cdb
│   │   ├── sdram_2fifo.(92).cnf.hdb
│   │   ├── sdram_2fifo.(93).cnf.cdb
│   │   ├── sdram_2fifo.(93).cnf.hdb
│   │   ├── sdram_2fifo.(94).cnf.cdb
│   │   ├── sdram_2fifo.(94).cnf.hdb
│   │   ├── sdram_2fifo.(95).cnf.cdb
│   │   ├── sdram_2fifo.(95).cnf.hdb
│   │   ├── sdram_2fifo.(96).cnf.cdb
│   │   ├── sdram_2fifo.(96).cnf.hdb
│   │   ├── sdram_2fifo.(97).cnf.cdb
│   │   ├── sdram_2fifo.(97).cnf.hdb
│   │   ├── sdram_2fifo.(98).cnf.cdb
│   │   ├── sdram_2fifo.(98).cnf.hdb
│   │   ├── sdram_2fifo.(99).cnf.cdb
│   │   ├── sdram_2fifo.(99).cnf.hdb
│   │   ├── sdram_2fifo.(9).cnf.cdb
│   │   ├── sdram_2fifo.(9).cnf.hdb
│   │   ├── sdram_2fifo.ace_cmp.bpm
│   │   ├── sdram_2fifo.ace_cmp.cdb
│   │   ├── sdram_2fifo.ace_cmp.hdb
│   │   ├── sdram_2fifo.asm_labs.ddb
│   │   ├── sdram_2fifo.asm.qmsg
│   │   ├── sdram_2fifo.asm.rdb
│   │   ├── sdram_2fifo.autoh_e40e1.map.reg_db.cdb
│   │   ├── sdram_2fifo.autos_3e921.map.reg_db.cdb
│   │   ├── sdram_2fifo.cbx.xml
│   │   ├── sdram_2fifo.cmp.bpm
│   │   ├── sdram_2fifo.cmp.cdb
│   │   ├── sdram_2fifo.cmp.hdb
│   │   ├── sdram_2fifo.cmp.idb
│   │   ├── sdram_2fifo.cmp.logdb
│   │   ├── sdram_2fifo.cmp_merge.kpt
│   │   ├── sdram_2fifo.cmp.rdb
│   │   ├── sdram_2fifo.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│   │   ├── sdram_2fifo.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│   │   ├── sdram_2fifo.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│   │   ├── sdram_2fifo.db_info
│   │   ├── sdram_2fifo.eco.cdb
│   │   ├── sdram_2fifo.eda.qmsg
│   │   ├── sdram_2fifo.fit.qmsg
│   │   ├── sdram_2fifo.hier_info
│   │   ├── sdram_2fifo.hif
│   │   ├── sdram_2fifo.ipinfo
│   │   ├── sdram_2fifo.lpc.html
│   │   ├── sdram_2fifo.lpc.rdb
│   │   ├── sdram_2fifo.lpc.txt
│   │   ├── sdram_2fifo.map.ammdb
│   │   ├── sdram_2fifo.map_bb.cdb
│   │   ├── sdram_2fifo.map_bb.hdb
│   │   ├── sdram_2fifo.map_bb.logdb
│   │   ├── sdram_2fifo.map.bpm
│   │   ├── sdram_2fifo.map.cdb
│   │   ├── sdram_2fifo.map.hdb
│   │   ├── sdram_2fifo.map.kpt
│   │   ├── sdram_2fifo.map.logdb
│   │   ├── sdram_2fifo.map.qmsg
│   │   ├── sdram_2fifo.map.rdb
│   │   ├── sdram_2fifo.npp.qmsg
│   │   ├── sdram_2fifo.pplq.rdb
│   │   ├── sdram_2fifo.pre_map.hdb
│   │   ├── sdram_2fifo.pti_db_list.ddb
│   │   ├── sdram_2fifo.qns
│   │   ├── sdram_2fifo.root_partition.map.reg_db.cdb
│   │   ├── sdram_2fifo.routing.rdb
│   │   ├── sdram_2fifo.rtlv.hdb
│   │   ├── sdram_2fifo.rtlv_sg.cdb
│   │   ├── sdram_2fifo.rtlv_sg_swap.cdb
│   │   ├── sdram_2fifo.sgate.nvd
│   │   ├── sdram_2fifo.sgate_sm.nvd
│   │   ├── sdram_2fifo.sgdiff.cdb
│   │   ├── sdram_2fifo.sgdiff.hdb
│   │   ├── sdram_2fifo.sld_design_entry_dsc.sci
│   │   ├── sdram_2fifo.sld_design_entry.sci
│   │   ├── sdram_2fifo.smart_action.txt
│   │   ├── sdram_2fifo.smp_dump.txt
│   │   ├── sdram_2fifo.sta_cmp.8_slow_1200mv_85c.tdb
│   │   ├── sdram_2fifo.sta.qmsg
│   │   ├── sdram_2fifo.sta.rdb
│   │   ├── sdram_2fifo.tiscmp.fast_1200mv_0c.ddb
│   │   ├── sdram_2fifo.tiscmp.fastest_slow_1200mv_0c.ddb
│   │   ├── sdram_2fifo.tiscmp.fastest_slow_1200mv_85c.ddb
│   │   ├── sdram_2fifo.tiscmp.slow_1200mv_0c.ddb
│   │   ├── sdram_2fifo.tiscmp.slow_1200mv_85c.ddb
│   │   ├── sdram_2fifo.tis_db_list.ddb
│   │   ├── sdram_2fifo.vpr.ammdb
│   │   ├── stp1_auto_stripped.stp
│   │   ├── stp2_auto_stripped.stp
│   │   └── stp3_auto_stripped.stp
│   ├── fifo_inst.v
│   ├── fifo.qip
│   ├── fifo.v
│   ├── greybox_tmp
│   │   └── cbx_args.txt
│   ├── incremental_db
│   │   ├── compiled_partitions
│   │   │   ├── sdram_2fifo.autoh_e40e1.map.cdb
│   │   │   ├── sdram_2fifo.autoh_e40e1.map.dpi
│   │   │   ├── sdram_2fifo.autoh_e40e1.map.hdb
│   │   │   ├── sdram_2fifo.autoh_e40e1.map.kpt
│   │   │   ├── sdram_2fifo.autoh_e40e1.map.logdb
│   │   │   ├── sdram_2fifo.autos_3e921.map.cdb
│   │   │   ├── sdram_2fifo.autos_3e921.map.dpi
│   │   │   ├── sdram_2fifo.autos_3e921.map.hdb
│   │   │   ├── sdram_2fifo.autos_3e921.map.kpt
│   │   │   ├── sdram_2fifo.autos_3e921.map.logdb
│   │   │   ├── sdram_2fifo.db_info
│   │   │   ├── sdram_2fifo.root_partition.cmp.ammdb
│   │   │   ├── sdram_2fifo.root_partition.cmp.cdb
│   │   │   ├── sdram_2fifo.root_partition.cmp.dfp
│   │   │   ├── sdram_2fifo.root_partition.cmp.hdb
│   │   │   ├── sdram_2fifo.root_partition.cmp.logdb
│   │   │   ├── sdram_2fifo.root_partition.cmp.rcfdb
│   │   │   ├── sdram_2fifo.root_partition.map.cdb
│   │   │   ├── sdram_2fifo.root_partition.map.dpi
│   │   │   ├── sdram_2fifo.root_partition.map.hbdb.cdb
│   │   │   ├── sdram_2fifo.root_partition.map.hbdb.hb_info
│   │   │   ├── sdram_2fifo.root_partition.map.hbdb.hdb
│   │   │   ├── sdram_2fifo.root_partition.map.hbdb.sig
│   │   │   ├── sdram_2fifo.root_partition.map.hdb
│   │   │   └── sdram_2fifo.root_partition.map.kpt
│   │   └── README
│   ├── output_files
│   │   ├── Chain1.cdf
│   │   ├── Chain2.cdf
│   │   ├── Chain3.cdf
│   │   ├── fifo.qip
│   │   ├── greybox_tmp
│   │   │   └── cbx_args.txt
│   │   ├── output_files
│   │   │   └── Chain2.cdf
│   │   ├── pll_gen.qip
│   │   ├── sdram_2fifo.asm.rpt
│   │   ├── sdram_2fifo.cdf
│   │   ├── sdram_2fifo.done
│   │   ├── sdram_2fifo.eda.rpt
│   │   ├── sdram_2fifo.fit.rpt
│   │   ├── sdram_2fifo.fit.smsg
│   │   ├── sdram_2fifo.fit.summary
│   │   ├── sdram_2fifo.flow.rpt
│   │   ├── sdram_2fifo.jdi
│   │   ├── sdram_2fifo.map.rpt
│   │   ├── sdram_2fifo.map.smsg
│   │   ├── sdram_2fifo.map.summary
│   │   ├── sdram_2fifo.pin
│   │   ├── sdram_2fifo.pti_db_list.ddb
│   │   ├── sdram_2fifo.sof
│   │   ├── sdram_2fifo.sta.rpt
│   │   ├── sdram_2fifo.sta.summary
│   │   ├── sdram_2fifo.tis_db_list.ddb
│   │   ├── stp1.jpg
│   │   ├── stp1.stp
│   │   ├── stp2.stp
│   │   ├── stp3.stp
│   │   ├── tb_tft.v.bak
│   │   └── test_picture.qip
│   ├── pll_gen.ppf
│   ├── pll_gen.qip
│   ├── pll_gen.v
│   ├── PLLJ_PLLSPE_INFO.txt
│   ├── sdram_2fifo_nativelink_simulation.rpt
│   ├── sdram_2fifo.qpf
│   ├── sdram_2fifo.qsf
│   ├── sdram_2fifo.qws
│   ├── simulation
│   │   └── modelsim
│   │   ├── modelsim.ini
│   │   ├── restart.do
│   │   ├── rtl_work
│   │   │   ├── _info
│   │   │   ├── _lib1_0.qdb
│   │   │   ├── _lib1_0.qpg
│   │   │   ├── _lib.qdb
│   │   │   ├── @_opt
│   │   │   │   ├── _lib1_0.qdb
│   │   │   │   ├── _lib1_0.qpg
│   │   │   │   ├── _lib2_0.qdb
│   │   │   │   ├── _lib2_0.qpg
│   │   │   │   ├── _lib3_0.qdb
│   │   │   │   ├── _lib3_0.qpg
│   │   │   │   ├── _lib4_0.qdb
│   │   │   │   ├── _lib4_0.qpg
│   │   │   │   ├── _lib5_0.qdb
│   │   │   │   ├── _lib5_0.qpg
│   │   │   │   ├── _lib6_0.qdb
│   │   │   │   ├── _lib6_0.qpg
│   │   │   │   └── _lib.qdb
│   │   │   ├── @_opt1
│   │   │   │   ├── _lib1_0.qdb
│   │   │   │   ├── _lib1_0.qpg
│   │   │   │   ├── _lib2_0.qdb
│   │   │   │   ├── _lib2_0.qpg
│   │   │   │   ├── _lib3_0.qdb
│   │   │   │   ├── _lib3_0.qpg
│   │   │   │   ├── _lib4_0.qdb
│   │   │   │   ├── _lib4_0.qpg
│   │   │   │   ├── _lib5_0.qdb
│   │   │   │   ├── _lib5_0.qpg
│   │   │   │   ├── _lib6_0.qdb
│   │   │   │   ├── _lib6_0.qpg
│   │   │   │   └── _lib.qdb
│   │   │   ├── _opt1__lock
│   │   │   ├── @_opt2
│   │   │   │   ├── _lib1_0.qdb
│   │   │   │   ├── _lib1_0.qpg
│   │   │   │   ├── _lib2_0.qdb
│   │   │   │   ├── _lib2_0.qpg
│   │   │   │   ├── _lib3_0.qdb
│   │   │   │   ├── _lib3_0.qpg
│   │   │   │   ├── _lib4_0.qdb
│   │   │   │   ├── _lib4_0.qpg
│   │   │   │   ├── _lib5_0.qdb
│   │   │   │   ├── _lib5_0.qpg
│   │   │   │   ├── _lib6_0.qdb
│   │   │   │   ├── _lib6_0.qpg
│   │   │   │   └── _lib.qdb
│   │   │   ├── _opt2__lock
│   │   │   ├── @_opt3
│   │   │   │   ├── _lib1_0.qdb
│   │   │   │   ├── _lib1_0.qpg
│   │   │   │   ├── _lib2_0.qdb
│   │   │   │   ├── _lib2_0.qpg
│   │   │   │   ├── _lib3_0.qdb
│   │   │   │   ├── _lib3_0.qpg
│   │   │   │   ├── _lib4_0.qdb
│   │   │   │   ├── _lib4_0.qpg
│   │   │   │   ├── _lib5_0.qdb
│   │   │   │   ├── _lib5_0.qpg
│   │   │   │   └── _lib.qdb
│   │   │   ├── _opt__lock
│   │   │   └── _vmake
│   │   ├── run.do
│   │   ├── sdram_2fifo_8_1200mv_0c_slow.vo
│   │   ├── sdram_2fifo_8_1200mv_0c_v_slow.sdo
│   │   ├── sdram_2fifo_8_1200mv_85c_slow.vo
│   │   ├── sdram_2fifo_8_1200mv_85c_v_slow.sdo
│   │   ├── sdram_2fifo_min_1200mv_0c_fast.vo
│   │   ├── sdram_2fifo_min_1200mv_0c_v_fast.sdo
│   │   ├── sdram_2fifo_modelsim.xrf
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak1
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak10
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak11
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak2
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak3
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak4
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak5
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak6
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak7
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak8
│   │   ├── sdram_2fifo_run_msim_rtl_verilog.do.bak9
│   │   ├── sdram_2fifo.sft
│   │   ├── sdram_2fifo.vo
│   │   ├── sdram_2fifo_v.sdo
│   │   ├── tcl_stacktrace.txt
│   │   ├── test.do
│   │   ├── verilog_libs
│   │   │   ├── altera_lnsim_ver
│   │   │   │   ├── _info
│   │   │   │   ├── _lib1_128.qdb
│   │   │   │   ├── _lib1_128.qpg
│   │   │   │   ├── _lib1_129.qdb
│   │   │   │   ├── _lib1_129.qpg
│   │   │   │   ├── _lib.qdb
│   │   │   │   └── _vmake
│   │   │   ├── altera_mf_ver
│   │   │   │   ├── _info
│   │   │   │   ├── _lib1_232.qdb
│   │   │   │   ├── _lib1_232.qpg
│   │   │   │   ├── _lib1_233.qdb
│   │   │   │   ├── _lib1_233.qpg
│   │   │   │   ├── _lib.qdb
│   │   │   │   └── _vmake
│   │   │   ├── altera_ver
│   │   │   │   ├── _info
│   │   │   │   ├── _lib1_63.qdb
│   │   │   │   ├── _lib1_63.qpg
│   │   │   │   ├── _lib1_64.qdb
│   │   │   │   ├── _lib1_64.qpg
│   │   │   │   ├── _lib.qdb
│   │   │   │   └── _vmake
│   │   │   ├── cycloneive_ver
│   │   │   │   ├── _info
│   │   │   │   ├── _lib1_128.qdb
│   │   │   │   ├── _lib1_128.qpg
│   │   │   │   ├── _lib1_129.qdb
│   │   │   │   ├── _lib1_129.qpg
│   │   │   │   ├── _lib.qdb
│   │   │   │   └── _vmake
│   │   │   ├── lpm_ver
│   │   │   │   ├── _info
│   │   │   │   ├── _lib1_115.qdb
│   │   │   │   ├── _lib1_115.qpg
│   │   │   │   ├── _lib1_116.qdb
│   │   │   │   ├── _lib1_116.qpg
│   │   │   │   ├── _lib.qdb
│   │   │   │   └── _vmake
│   │   │   └── sgate_ver
│   │   │   ├── _info
│   │   │   ├── _lib1_42.qdb
│   │   │   ├── _lib1_42.qpg
│   │   │   ├── _lib1_43.qdb
│   │   │   ├── _lib1_43.qpg
│   │   │   ├── _lib.qdb
│   │   │   └── _vmake
│   │   ├── vsim.wlf
│   │   ├── wlft15hr0k
│   │   ├── wlft1yv7zg
│   │   ├── wlft37f2yg
│   │   ├── wlft466r95
│   │   ├── wlft4sbff4
│   │   ├── wlft4z34ax
│   │   ├── wlft6ya6et
│   │   ├── wlft7gzczj
│   │   ├── wlft8n3g9i
│   │   ├── wlft8qbt6n
│   │   ├── wlft8rt02q
│   │   ├── wlft99c32t
│   │   ├── wlft9ixt11
│   │   ├── wlft9r7ztc
│   │   ├── wlftanji4f
│   │   ├── wlftaqbq4s
│   │   ├── wlftazq1ka
│   │   ├── wlftbgdv8v
│   │   ├── wlftcdq3df
│   │   ├── wlftd1yzqz
│   │   ├── wlftf9v1gf
│   │   ├── wlftgkcte3
│   │   ├── wlfthmkm70
│   │   ├── wlfthrq2zy
│   │   ├── wlftjirj4d
│   │   ├── wlftm3gghs
│   │   ├── wlftqbzw8b
│   │   ├── wlftrhq60r
│   │   ├── wlfts1x7wc
│   │   ├── wlftszq6zs
│   │   ├── wlftt4swad
│   │   ├── wlftvfcz83
│   │   ├── wlftw3hete
│   │   ├── wlftxi7das
│   │   ├── wlftz2wxaq
│   │   ├── wlftz326q4
│   │   └── wlftzgynd1
│   ├── stp1.bmp
│   ├── tb_sdram_ov7670_vga.v
│   ├── tb_sdram_ov7670_vga.v.bak
│   ├── test_picture_bb.v
│   ├── test_picture.qip
│   └── test_picture.v
├── sim
│   ├── altera_mf.v
│   ├── gain.cr.mti
│   ├── gain.mpf
│   ├── greybox_tmp
│   │   └── cbx_args.txt
│   ├── pll_gen.qip
│   ├── sdram_model.v
│   ├── sdram_model.v.bak
│   ├── sdram_test.cr.mti
│   ├── sdram_test.mpf
│   ├── sdr_parameters.vh
│   ├── sdr.v.bak
│   ├── sim_model
│   │   ├── 512Mb_sdr.pdf
│   │   ├── readme.txt
│   │   ├── sdr_module.v
│   │   ├── sdr_parameters.vh
│   │   ├── sdr.v.bak
│   │   └── test.v
│   ├── sim_sdram.v
│   ├── sim_sdram.v.bak
│   ├── sim.zip
│   ├── tb_test_write_sdram.v
│   ├── tb_test_write_sdram.v.bak
│   ├── tb_tft.v
│   ├── tb_tft.v.bak
│   ├── test_gain.v
│   ├── test_gain.v.bak
│   ├── vsim.wlf
│   └── work
│   ├── _info
│   ├── _lib1_0.qdb
│   ├── _lib1_0.qpg
│   ├── _lib1_1.qdb
│   ├── _lib1_1.qpg
│   ├── _lib.qdb
│   └── _vmake
└── src
├── dc_fifo.v
├── dc_fifo.v.bak
├── driver.v
├── driver.v.bak
├── fifo.qip
├── gain_320x240_from_640x480.v
├── gain_320x240_from_640x480.v.bak
├── greybox_tmp
│   └── cbx_args.txt
├── main_fsm.v
├── main_fsm.v.bak
├── ov7670_ip
│   ├── CMOS_Capture.v
│   ├── CMOS_Capture.v.bak
│   ├── greybox_tmp
│   │   └── cbx_args.txt
│   ├── I2C_AV_Config.v
│   ├── I2C_AV_Config.v.bak
│   ├── I2C_Controller.v
│   ├── I2C_Controller.v.bak
│   ├── I2C_OV7670_RGB565_Config.v
│   ├── I2C_OV7670_RGB565_Config.v.bak
│   ├── I2C_OV7670_YUV422_Config.v
│   ├── ov7670_test
│   │   ├── db
│   │   │   ├── altsyncram_e124.tdf
│   │   │   ├── altsyncram_f124.tdf
│   │   │   ├── altsyncram_i124.tdf
│   │   │   ├── cmpr_ngc.tdf
│   │   │   ├── cmpr_rgc.tdf
│   │   │   ├── cntr_23j.tdf
│   │   │   ├── cntr_bbj.tdf
│   │   │   ├── cntr_dgi.tdf
│   │   │   ├── cntr_gbj.tdf
│   │   │   ├── cntr_igi.tdf
│   │   │   ├── cntr_jgi.tdf
│   │   │   ├── cntr_kgi.tdf
│   │   │   ├── cntr_lgi.tdf
│   │   │   ├── cntr_o9j.tdf
│   │   │   ├── decode_dvf.tdf
│   │   │   ├── decode_jsa.tdf
│   │   │   ├── decode_msa.tdf
│   │   │   ├── logic_util_heursitic.dat
│   │   │   ├── mux_1tc.tdf
│   │   │   ├── mux_kob.tdf
│   │   │   ├── mux_oob.tdf
│   │   │   ├── mux_qsc.tdf
│   │   │   ├── mux_vsc.tdf
│   │   │   ├── pll_gen_altpll1.v
│   │   │   ├── pll_gen_altpll.v
│   │   │   ├── prev_cmp_test.qmsg
│   │   │   ├── stp1_auto_stripped.stp
│   │   │   ├── stp6_auto_stripped.stp
│   │   │   ├── stp7_auto_stripped.stp
│   │   │   ├── test.(0).cnf.cdb
│   │   │   ├── test.(0).cnf.hdb
│   │   │   ├── test.(100).cnf.cdb
│   │   │   ├── test.(100).cnf.hdb
│   │   │   ├── test.(101).cnf.cdb
│   │   │   ├── test.(101).cnf.hdb
│   │   │   ├── test.(102).cnf.cdb
│   │   │   ├── test.(102).cnf.hdb
│   │   │   ├── test.(103).cnf.cdb
│   │   │   ├── test.(103).cnf.hdb
│   │   │   ├── test.(104).cnf.cdb
│   │   │   ├── test.(104).cnf.hdb
│   │   │   ├── test.(105).cnf.cdb
│   │   │   ├── test.(105).cnf.hdb
│   │   │   ├── test.(106).cnf.cdb
│   │   │   ├── test.(106).cnf.hdb
│   │   │   ├── test.(107).cnf.cdb
│   │   │   ├── test.(107).cnf.hdb
│   │   │   ├── test.(108).cnf.cdb
│   │   │   ├── test.(108).cnf.hdb
│   │   │   ├── test.(109).cnf.cdb
│   │   │   ├── test.(109).cnf.hdb
│   │   │   ├── test.(10).cnf.cdb
│   │   │   ├── test.(10).cnf.hdb
│   │   │   ├── test.(110).cnf.cdb
│   │   │   ├── test.(110).cnf.hdb
│   │   │   ├── test.(111).cnf.cdb
│   │   │   ├── test.(111).cnf.hdb
│   │   │   ├── test.(112).cnf.cdb
│   │   │   ├── test.(112).cnf.hdb
│   │   │   ├── test.(113).cnf.cdb
│   │   │   ├── test.(113).cnf.hdb
│   │   │   ├── test.(114).cnf.cdb
│   │   │   ├── test.(114).cnf.hdb
│   │   │   ├── test.(115).cnf.cdb
│   │   │   ├── test.(115).cnf.hdb
│   │   │   ├── test.(116).cnf.cdb
│   │   │   ├── test.(116).cnf.hdb
│   │   │   ├── test.(117).cnf.cdb
│   │   │   ├── test.(117).cnf.hdb
│   │   │   ├── test.(118).cnf.cdb
│   │   │   ├── test.(118).cnf.hdb
│   │   │   ├── test.(119).cnf.cdb
│   │   │   ├── test.(119).cnf.hdb
│   │   │   ├── test.(11).cnf.cdb
│   │   │   ├── test.(11).cnf.hdb
│   │   │   ├── test.(120).cnf.cdb
│   │   │   ├── test.(120).cnf.hdb
│   │   │   ├── test.(121).cnf.cdb
│   │   │   ├── test.(121).cnf.hdb
│   │   │   ├── test.(122).cnf.cdb
│   │   │   ├── test.(122).cnf.hdb
│   │   │   ├── test.(123).cnf.cdb
│   │   │   ├── test.(123).cnf.hdb
│   │   │   ├── test.(124).cnf.cdb
│   │   │   ├── test.(124).cnf.hdb
│   │   │   ├── test.(125).cnf.cdb
│   │   │   ├── test.(125).cnf.hdb
│   │   │   ├── test.(126).cnf.cdb
│   │   │   ├── test.(126).cnf.hdb
│   │   │   ├── test.(127).cnf.cdb
│   │   │   ├── test.(127).cnf.hdb
│   │   │   ├── test.(128).cnf.cdb
│   │   │   ├── test.(128).cnf.hdb
│   │   │   ├── test.(129).cnf.cdb
│   │   │   ├── test.(129).cnf.hdb
│   │   │   ├── test.(12).cnf.cdb
│   │   │   ├── test.(12).cnf.hdb
│   │   │   ├── test.(130).cnf.cdb
│   │   │   ├── test.(130).cnf.hdb
│   │   │   ├── test.(131).cnf.cdb
│   │   │   ├── test.(131).cnf.hdb
│   │   │   ├── test.(132).cnf.cdb
│   │   │   ├── test.(132).cnf.hdb
│   │   │   ├── test.(133).cnf.cdb
│   │   │   ├── test.(133).cnf.hdb
│   │   │   ├── test.(134).cnf.cdb
│   │   │   ├── test.(134).cnf.hdb
│   │   │   ├── test.(135).cnf.cdb
│   │   │   ├── test.(135).cnf.hdb
│   │   │   ├── test.(136).cnf.cdb
│   │   │   ├── test.(136).cnf.hdb
│   │   │   ├── test.(137).cnf.cdb
│   │   │   ├── test.(137).cnf.hdb
│   │   │   ├── test.(138).cnf.cdb
│   │   │   ├── test.(138).cnf.hdb
│   │   │   ├── test.(139).cnf.cdb
│   │   │   ├── test.(139).cnf.hdb
│   │   │   ├── test.(13).cnf.cdb
│   │   │   ├── test.(13).cnf.hdb
│   │   │   ├── test.(140).cnf.cdb
│   │   │   ├── test.(140).cnf.hdb
│   │   │   ├── test.(141).cnf.cdb
│   │   │   ├── test.(141).cnf.hdb
│   │   │   ├── test.(142).cnf.cdb
│   │   │   ├── test.(142).cnf.hdb
│   │   │   ├── test.(143).cnf.cdb
│   │   │   ├── test.(143).cnf.hdb
│   │   │   ├── test.(144).cnf.cdb
│   │   │   ├── test.(144).cnf.hdb
│   │   │   ├── test.(145).cnf.cdb
│   │   │   ├── test.(145).cnf.hdb
│   │   │   ├── test.(146).cnf.cdb
│   │   │   ├── test.(146).cnf.hdb
│   │   │   ├── test.(14).cnf.cdb
│   │   │   ├── test.(14).cnf.hdb
│   │   │   ├── test.(15).cnf.cdb
│   │   │   ├── test.(15).cnf.hdb
│   │   │   ├── test.(16).cnf.cdb
│   │   │   ├── test.(16).cnf.hdb
│   │   │   ├── test.(17).cnf.cdb
│   │   │   ├── test.(17).cnf.hdb
│   │   │   ├── test.(18).cnf.cdb
│   │   │   ├── test.(18).cnf.hdb
│   │   │   ├── test.(19).cnf.cdb
│   │   │   ├── test.(19).cnf.hdb
│   │   │   ├── test.(1).cnf.cdb
│   │   │   ├── test.(1).cnf.hdb
│   │   │   ├── test.(20).cnf.cdb
│   │   │   ├── test.(20).cnf.hdb
│   │   │   ├── test.(21).cnf.cdb
│   │   │   ├── test.(21).cnf.hdb
│   │   │   ├── test.(22).cnf.cdb
│   │   │   ├── test.(22).cnf.hdb
│   │   │   ├── test.(23).cnf.cdb
│   │   │   ├── test.(23).cnf.hdb
│   │   │   ├── test.(24).cnf.cdb
│   │   │   ├── test.(24).cnf.hdb
│   │   │   ├── test.(25).cnf.cdb
│   │   │   ├── test.(25).cnf.hdb
│   │   │   ├── test.(26).cnf.cdb
│   │   │   ├── test.(26).cnf.hdb
│   │   │   ├── test.(27).cnf.cdb
│   │   │   ├── test.(27).cnf.hdb
│   │   │   ├── test.(28).cnf.cdb
│   │   │   ├── test.(28).cnf.hdb
│   │   │   ├── test.(29).cnf.cdb
│   │   │   ├── test.(29).cnf.hdb
│   │   │   ├── test.(2).cnf.cdb
│   │   │   ├── test.(2).cnf.hdb
│   │   │   ├── test.(30).cnf.cdb
│   │   │   ├── test.(30).cnf.hdb
│   │   │   ├── test.(31).cnf.cdb
│   │   │   ├── test.(31).cnf.hdb
│   │   │   ├── test.(32).cnf.cdb
│   │   │   ├── test.(32).cnf.hdb
│   │   │   ├── test.(33).cnf.cdb
│   │   │   ├── test.(33).cnf.hdb
│   │   │   ├── test.(34).cnf.cdb
│   │   │   ├── test.(34).cnf.hdb
│   │   │   ├── test.(35).cnf.cdb
│   │   │   ├── test.(35).cnf.hdb
│   │   │   ├── test.(36).cnf.cdb
│   │   │   ├── test.(36).cnf.hdb
│   │   │   ├── test.(37).cnf.cdb
│   │   │   ├── test.(37).cnf.hdb
│   │   │   ├── test.(38).cnf.cdb
│   │   │   ├── test.(38).cnf.hdb
│   │   │   ├── test.(39).cnf.cdb
│   │   │   ├── test.(39).cnf.hdb
│   │   │   ├── test.(3).cnf.cdb
│   │   │   ├── test.(3).cnf.hdb
│   │   │   ├── test.(40).cnf.cdb
│   │   │   ├── test.(40).cnf.hdb
│   │   │   ├── test.(41).cnf.cdb
│   │   │   ├── test.(41).cnf.hdb
│   │   │   ├── test.(42).cnf.cdb
│   │   │   ├── test.(42).cnf.hdb
│   │   │   ├── test.(43).cnf.cdb
│   │   │   ├── test.(43).cnf.hdb
│   │   │   ├── test.(44).cnf.cdb
│   │   │   ├── test.(44).cnf.hdb
│   │   │   ├── test.(45).cnf.cdb
│   │   │   ├── test.(45).cnf.hdb
│   │   │   ├── test.(46).cnf.cdb
│   │   │   ├── test.(46).cnf.hdb
│   │   │   ├── test.(47).cnf.cdb
│   │   │   ├── test.(47).cnf.hdb
│   │   │   ├── test.(48).cnf.cdb
│   │   │   ├── test.(48).cnf.hdb
│   │   │   ├── test.(49).cnf.cdb
│   │   │   ├── test.(49).cnf.hdb
│   │   │   ├── test.(4).cnf.cdb
│   │   │   ├── test.(4).cnf.hdb
│   │   │   ├── test.(50).cnf.cdb
│   │   │   ├── test.(50).cnf.hdb
│   │   │   ├── test.(51).cnf.cdb
│   │   │   ├── test.(51).cnf.hdb
│   │   │   ├── test.(52).cnf.cdb
│   │   │   ├── test.(52).cnf.hdb
│   │   │   ├── test.(53).cnf.cdb
│   │   │   ├── test.(53).cnf.hdb
│   │   │   ├── test.(54).cnf.cdb
│   │   │   ├── test.(54).cnf.hdb
│   │   │   ├── test.(55).cnf.cdb
│   │   │   ├── test.(55).cnf.hdb
│   │   │   ├── test.(56).cnf.cdb
│   │   │   ├── test.(56).cnf.hdb
│   │   │   ├── test.(57).cnf.cdb
│   │   │   ├── test.(57).cnf.hdb
│   │   │   ├── test.(58).cnf.cdb
│   │   │   ├── test.(58).cnf.hdb
│   │   │   ├── test.(59).cnf.cdb
│   │   │   ├── test.(59).cnf.hdb
│   │   │   ├── test.(5).cnf.cdb
│   │   │   ├── test.(5).cnf.hdb
│   │   │   ├── test.(60).cnf.cdb
│   │   │   ├── test.(60).cnf.hdb
│   │   │   ├── test.(61).cnf.cdb
│   │   │   ├── test.(61).cnf.hdb
│   │   │   ├── test.(62).cnf.cdb
│   │   │   ├── test.(62).cnf.hdb
│   │   │   ├── test.(63).cnf.cdb
│   │   │   ├── test.(63).cnf.hdb
│   │   │   ├── test.(64).cnf.cdb
│   │   │   ├── test.(64).cnf.hdb
│   │   │   ├── test.(65).cnf.cdb
│   │   │   ├── test.(65).cnf.hdb
│   │   │   ├── test.(66).cnf.cdb
│   │   │   ├── test.(66).cnf.hdb
│   │   │   ├── test.(67).cnf.cdb
│   │   │   ├── test.(67).cnf.hdb
│   │   │   ├── test.(68).cnf.cdb
│   │   │   ├── test.(68).cnf.hdb
│   │   │   ├── test.(69).cnf.cdb
│   │   │   ├── test.(69).cnf.hdb
│   │   │   ├── test.(6).cnf.cdb
│   │   │   ├── test.(6).cnf.hdb
│   │   │   ├── test.(70).cnf.cdb
│   │   │   ├── test.(70).cnf.hdb
│   │   │   ├── test.(71).cnf.cdb
│   │   │   ├── test.(71).cnf.hdb
│   │   │   ├── test.(72).cnf.cdb
│   │   │   ├── test.(72).cnf.hdb
│   │   │   ├── test.(73).cnf.cdb
│   │   │   ├── test.(73).cnf.hdb
│   │   │   ├── test.(74).cnf.cdb
│   │   │   ├── test.(74).cnf.hdb
│   │   │   ├── test.(75).cnf.cdb
│   │   │   ├── test.(75).cnf.hdb
│   │   │   ├── test.(76).cnf.cdb
│   │   │   ├── test.(76).cnf.hdb
│   │   │   ├── test.(77).cnf.cdb
│   │   │   ├── test.(77).cnf.hdb
│   │   │   ├── test.(78).cnf.cdb
│   │   │   ├── test.(78).cnf.hdb
│   │   │   ├── test.(79).cnf.cdb
│   │   │   ├── test.(79).cnf.hdb
│   │   │   ├── test.(7).cnf.cdb
│   │   │   ├── test.(7).cnf.hdb
│   │   │   ├── test.(80).cnf.cdb
│   │   │   ├── test.(80).cnf.hdb
│   │   │   ├── test.(81).cnf.cdb
│   │   │   ├── test.(81).cnf.hdb
│   │   │   ├── test.(82).cnf.cdb
│   │   │   ├── test.(82).cnf.hdb
│   │   │   ├── test.(83).cnf.cdb
│   │   │   ├── test.(83).cnf.hdb
│   │   │   ├── test.(84).cnf.cdb
│   │   │   ├── test.(84).cnf.hdb
│   │   │   ├── test.(85).cnf.cdb
│   │   │   ├── test.(85).cnf.hdb
│   │   │   ├── test.(86).cnf.cdb
│   │   │   ├── test.(86).cnf.hdb
│   │   │   ├── test.(87).cnf.cdb
│   │   │   ├── test.(87).cnf.hdb
│   │   │   ├── test.(88).cnf.cdb
│   │   │   ├── test.(88).cnf.hdb
│   │   │   ├── test.(89).cnf.cdb
│   │   │   ├── test.(89).cnf.hdb
│   │   │   ├── test.(8).cnf.cdb
│   │   │   ├── test.(8).cnf.hdb
│   │   │   ├── test.(90).cnf.cdb
│   │   │   ├── test.(90).cnf.hdb
│   │   │   ├── test.(91).cnf.cdb
│   │   │   ├── test.(91).cnf.hdb
│   │   │   ├── test.(92).cnf.cdb
│   │   │   ├── test.(92).cnf.hdb
│   │   │   ├── test.(93).cnf.cdb
│   │   │   ├── test.(93).cnf.hdb
│   │   │   ├── test.(94).cnf.cdb
│   │   │   ├── test.(94).cnf.hdb
│   │   │   ├── test.(95).cnf.cdb
│   │   │   ├── test.(95).cnf.hdb
│   │   │   ├── test.(96).cnf.cdb
│   │   │   ├── test.(96).cnf.hdb
│   │   │   ├── test.(97).cnf.cdb
│   │   │   ├── test.(97).cnf.hdb
│   │   │   ├── test.(98).cnf.cdb
│   │   │   ├── test.(98).cnf.hdb
│   │   │   ├── test.(99).cnf.cdb
│   │   │   ├── test.(99).cnf.hdb
│   │   │   ├── test.(9).cnf.cdb
│   │   │   ├── test.(9).cnf.hdb
│   │   │   ├── test.asm_labs.ddb
│   │   │   ├── test.asm.qmsg
│   │   │   ├── test.asm.rdb
│   │   │   ├── test.autoh_e40e1.map.reg_db.cdb
│   │   │   ├── test.autos_3e921.map.reg_db.cdb
│   │   │   ├── test.cbx.xml
│   │   │   ├── test.cmp.bpm
│   │   │   ├── test.cmp.cdb
│   │   │   ├── test.cmp.hdb
│   │   │   ├── test.cmp.idb
│   │   │   ├── test.cmp.logdb
│   │   │   ├── test.cmp_merge.kpt
│   │   │   ├── test.cmp.rdb
│   │   │   ├── test.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│   │   │   ├── test.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│   │   │   ├── test.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│   │   │   ├── test.db_info
│   │   │   ├── test.fit.qmsg
│   │   │   ├── test.hier_info
│   │   │   ├── test.hif
│   │   │   ├── test.ipinfo
│   │   │   ├── test.lpc.html
│   │   │   ├── test.lpc.rdb
│   │   │   ├── test.lpc.txt
│   │   │   ├── test.map.ammdb
│   │   │   ├── test.map_bb.cdb
│   │   │   ├── test.map_bb.hdb
│   │   │   ├── test.map_bb.logdb
│   │   │   ├── test.map.bpm
│   │   │   ├── test.map.cdb
│   │   │   ├── test.map.hdb
│   │   │   ├── test.map.kpt
│   │   │   ├── test.map.logdb
│   │   │   ├── test.map.qmsg
│   │   │   ├── test.map.rdb
│   │   │   ├── test.merge.qmsg
│   │   │   ├── test.pplq.rdb
│   │   │   ├── test.pre_map.hdb
│   │   │   ├── test.pti_db_list.ddb
│   │   │   ├── test.root_partition.map.reg_db.cdb
│   │   │   ├── test.routing.rdb
│   │   │   ├── test.rtlv.hdb
│   │   │   ├── test.rtlv_sg.cdb
│   │   │   ├── test.rtlv_sg_swap.cdb
│   │   │   ├── test.sgdiff.cdb
│   │   │   ├── test.sgdiff.hdb
│   │   │   ├── test.sld_design_entry_dsc.sci
│   │   │   ├── test.sld_design_entry.sci
│   │   │   ├── test.smart_action.txt
│   │   │   ├── test.smp_dump.txt
│   │   │   ├── test.sta_cmp.8_slow_1200mv_85c.tdb
│   │   │   ├── test.sta.qmsg
│   │   │   ├── test.sta.rdb
│   │   │   ├── test.tiscmp.fast_1200mv_0c.ddb
│   │   │   ├── test.tiscmp.fastest_slow_1200mv_0c.ddb
│   │   │   ├── test.tiscmp.fastest_slow_1200mv_85c.ddb
│   │   │   ├── test.tiscmp.slow_1200mv_0c.ddb
│   │   │   ├── test.tiscmp.slow_1200mv_85c.ddb
│   │   │   ├── test.tis_db_list.ddb
│   │   │   └── test.vpr.ammdb
│   │   ├── incremental_db
│   │   │   ├── compiled_partitions
│   │   │   │   ├── test.autoh_e40e1.map.cdb
│   │   │   │   ├── test.autoh_e40e1.map.dpi
│   │   │   │   ├── test.autoh_e40e1.map.hdb
│   │   │   │   ├── test.autoh_e40e1.map.kpt
│   │   │   │   ├── test.autoh_e40e1.map.logdb
│   │   │   │   ├── test.autos_3e921.map.cdb
│   │   │   │   ├── test.autos_3e921.map.dpi
│   │   │   │   ├── test.autos_3e921.map.hdb
│   │   │   │   ├── test.autos_3e921.map.kpt
│   │   │   │   ├── test.autos_3e921.map.logdb
│   │   │   │   ├── test.db_info
│   │   │   │   ├── test.root_partition.cmp.ammdb
│   │   │   │   ├── test.root_partition.cmp.cdb
│   │   │   │   ├── test.root_partition.cmp.dfp
│   │   │   │   ├── test.root_partition.cmp.hdb
│   │   │   │   ├── test.root_partition.cmp.logdb
│   │   │   │   ├── test.root_partition.cmp.rcfdb
│   │   │   │   ├── test.root_partition.map.cdb
│   │   │   │   ├── test.root_partition.map.dpi
│   │   │   │   ├── test.root_partition.map.hbdb.cdb
│   │   │   │   ├── test.root_partition.map.hbdb.hb_info
│   │   │   │   ├── test.root_partition.map.hbdb.hdb
│   │   │   │   ├── test.root_partition.map.hbdb.sig
│   │   │   │   ├── test.root_partition.map.hdb
│   │   │   │   └── test.root_partition.map.kpt
│   │   │   └── README
│   │   ├── output_files
│   │   │   ├── greybox_tmp
│   │   │   │   └── cbx_args.txt
│   │   │   ├── pll_gen.qip
│   │   │   ├── stp1.stp
│   │   │   ├── stp3.stp
│   │   │   ├── stp4.stp
│   │   │   ├── stp5.stp
│   │   │   ├── stp6.stp
│   │   │   ├── stp7.stp
│   │   │   ├── test.asm.rpt
│   │   │   ├── test.done
│   │   │   ├── test.fit.rpt
│   │   │   ├── test.fit.smsg
│   │   │   ├── test.fit.summary
│   │   │   ├── test.flow.rpt
│   │   │   ├── test.jdi
│   │   │   ├── test.map.rpt
│   │   │   ├── test.map.summary
│   │   │   ├── test.merge.rpt
│   │   │   ├── test.merge.summary
│   │   │   ├── test.pin
│   │   │   ├── test.sof
│   │   │   ├── test.sta.rpt
│   │   │   └── test.sta.summary
│   │   ├── pll_gen_bb.v
│   │   ├── pll_gen.ppf
│   │   ├── pll_gen.qip
│   │   ├── pll_gen.v
│   │   ├── test.qpf
│   │   ├── test.qsf
│   │   └── test.qws
│   ├── ov7670_test.v
│   ├── ov7670_test.v.bak
│   ├── pll_gen.qip
│   ├── system_ctrl_pll.v
│   ├── system_init_delay.v
│   ├── system_init_delay.v.bak
│   ├── system_pll_pro.v
│   ├── system_pll_pro.v.bak
│   └── test.ipinfo
├── ov7670_ip.v
├── ov7670_ip.v.bak
├── ov7670_sdram_tft.v
├── ov7670_sdram_tft.v.bak
├── ov7670_test_module.v
├── ov7670_test_module.v.bak
├── pingpong.v
├── pingpong.v.bak
├── pll_gen.qip
├── precise_divider.v
├── ref_cnt.v
├── ref_cnt.v.bak
├── sdram_init.v
├── sdram_init.v.bak
├── sdram_model.v
├── sdram_model.v.bak
├── sdram_para.v
├── sdram_para.v.bak
├── sdram_read.v
├── sdram_read.v.bak
├── sdram_refresh.v
├── sdram_refresh.v.bak
├── sdram_test_top.v
├── sdram_test_top.v.bak
├── sdram_top.v
├── sdram_top.v.bak
├── sdram_write.v
├── sdram_write.v.bak
├── sdr_parameters.vh
├── sdr_parameters.vh.bak
├── smux.v
├── smux.v.bak
├── system_ctrl_pll.v
├── system_init_delay.v
├── system_pll_pro.v
├── system_pll_pro.v.bak
├── tft28_display.v
├── tft28_display.v.bak
├── tft28.v
├── tft28.v.bak
├── top_vga.v
├── top_vga.v.bak
├── uart_receive.v
├── uart_rec_fsm.v
├── uart_rec_fsm.v.bak
├── uart_rec.v
├── uart_tran_fsm.v
├── uart_transfer.v
├── uart_tran_top.v
└── uart_tran_top.v.bak

38 directories, 1640 files

标签:

实例下载地址

FPGA图像采集 利用SDRAM作为缓存,ov7670采集,VGA显示

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警