在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 基于FPGA使用verilog语言实现Uart协议的传输

基于FPGA使用verilog语言实现Uart协议的传输

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:15.89M
  • 下载次数:13
  • 浏览次数:301
  • 发布时间:2021-01-22
  • 实例类别:一般编程问题
  • 发 布 人:哈哈啦啦哈
  • 文件格式:.zip
  • 所需积分:2
 相关标签: verilog UART

实例介绍

【实例简介】含有Uart的知识总结,各模块的编写代码,完整的工程文件。亲测有效!

【实例截图】

【文件目录】

uart02

├── doc
│   └── uart.doc
├── pro
│   └── uart
│       ├── uart.cache
│       │   ├── compile_simlib
│       │   │   ├── activehdl
│       │   │   ├── ies
│       │   │   ├── modelsim
│       │   │   ├── questa
│       │   │   ├── riviera
│       │   │   ├── vcs
│       │   │   └── xcelium
│       │   ├── ip
│       │   │   └── 2018.3
│       │   └── wt
│       │       ├── gui_handlers.wdf
│       │       ├── java_command_handlers.wdf
│       │       ├── project.wpc
│       │       ├── synthesis.wdf
│       │       └── webtalk_pa.xml
│       ├── uart.hw
│       │   └── uart.lpr
│       ├── uart.ip_user_files
│       │   ├── README.txt
│       │   ├── ip
│       │   │   └── fifo
│       │   │       ├── fifo.veo
│       │   │       └── fifo.vho
│       │   ├── ipstatic
│       │   │   ├── hdl
│       │   │   │   ├── fifo_generator_v13_2_rfs.v
│       │   │   │   └── fifo_generator_v13_2_rfs.vhd
│       │   │   └── simulation
│       │   │       └── fifo_generator_vlog_beh.v
│       │   └── sim_scripts
│       │       └── fifo
│       │           ├── README.txt
│       │           ├── activehdl
│       │           │   ├── README.txt
│       │           │   ├── compile.do
│       │           │   ├── fifo.sh
│       │           │   ├── fifo.udo
│       │           │   ├── file_info.txt
│       │           │   ├── glbl.v
│       │           │   ├── simulate.do
│       │           │   └── wave.do
│       │           ├── ies
│       │           │   ├── README.txt
│       │           │   ├── fifo.sh
│       │           │   ├── file_info.txt
│       │           │   ├── glbl.v
│       │           │   └── run.f
│       │           ├── modelsim
│       │           │   ├── README.txt
│       │           │   ├── compile.do
│       │           │   ├── fifo.sh
│       │           │   ├── fifo.udo
│       │           │   ├── file_info.txt
│       │           │   ├── glbl.v
│       │           │   ├── simulate.do
│       │           │   └── wave.do
│       │           ├── questa
│       │           │   ├── README.txt
│       │           │   ├── compile.do
│       │           │   ├── elaborate.do
│       │           │   ├── fifo.sh
│       │           │   ├── fifo.udo
│       │           │   ├── file_info.txt
│       │           │   ├── glbl.v
│       │           │   ├── simulate.do
│       │           │   └── wave.do
│       │           ├── riviera
│       │           │   ├── README.txt
│       │           │   ├── compile.do
│       │           │   ├── fifo.sh
│       │           │   ├── fifo.udo
│       │           │   ├── file_info.txt
│       │           │   ├── glbl.v
│       │           │   ├── simulate.do
│       │           │   └── wave.do
│       │           ├── vcs
│       │           │   ├── README.txt
│       │           │   ├── fifo.sh
│       │           │   ├── file_info.txt
│       │           │   ├── glbl.v
│       │           │   └── simulate.do
│       │           ├── xcelium
│       │           │   ├── README.txt
│       │           │   ├── fifo.sh
│       │           │   ├── file_info.txt
│       │           │   ├── glbl.v
│       │           │   └── run.f
│       │           └── xsim
│       │               ├── README.txt
│       │               ├── cmd.tcl
│       │               ├── elab.opt
│       │               ├── fifo.sh
│       │               ├── file_info.txt
│       │               ├── glbl.v
│       │               ├── vhdl.prj
│       │               ├── vlog.prj
│       │               └── xsim.ini
│       ├── uart.runs
│       │   ├── fifo_synth_1
│       │   │   ├── ISEWrap.js
│       │   │   ├── ISEWrap.sh
│       │   │   ├── __synthesis_is_running__
│       │   │   ├── dont_touch.xdc
│       │   │   ├── fifo.tcl
│       │   │   ├── fifo.vds
│       │   │   ├── gen_run.xml
│       │   │   ├── htr.txt
│       │   │   ├── rundef.js
│       │   │   ├── runme.bat
│       │   │   ├── runme.log
│       │   │   ├── runme.sh
│       │   │   ├── vivado.jou
│       │   │   └── vivado.pb
│       │   └── synth_1
│       │       ├── ISEWrap.js
│       │       ├── ISEWrap.sh
│       │       ├── gen_run.xml
│       │       ├── htr.txt
│       │       ├── project.wdf
│       │       ├── rundef.js
│       │       ├── runme.bat
│       │       ├── runme.sh
│       │       └── uart.tcl
│       ├── uart.sim
│       ├── uart.srcs
│       │   └── sources_1
│       │       └── ip
│       │           └── fifo
│       │               ├── doc
│       │               │   └── fifo_generator_v13_2_changelog.txt
│       │               ├── fifo.veo
│       │               ├── fifo.vho
│       │               ├── fifo.xci
│       │               ├── fifo.xdc
│       │               ├── fifo.xml
│       │               ├── fifo_clocks.xdc
│       │               ├── fifo_ooc.xdc
│       │               ├── hdl
│       │               │   ├── blk_mem_gen_v8_4_vhsyn_rfs.vhd
│       │               │   ├── fifo_generator_v13_2_rfs.v
│       │               │   ├── fifo_generator_v13_2_rfs.vhd
│       │               │   └── fifo_generator_v13_2_vhsyn_rfs.vhd
│       │               ├── sim
│       │               │   └── fifo.v
│       │               ├── simulation
│       │               │   └── fifo_generator_vlog_beh.v
│       │               └── synth
│       │                   └── fifo.vhd
│       └── uart.xpr
├── rtl
│   ├── band_gen.v
│   ├── receive.v
│   ├── trans.v
│   ├── trans_tb.v
│   └── uart.v
└── sim
    ├── mysim.cr.mti
    ├── mysim.mpf
    ├── mywork
    │   ├── _info
    │   ├── _lib.qdb
    │   ├── _lib1_0.qdb
    │   ├── _lib1_0.qpg
    │   ├── _lib1_0.qtl
    │   └── _vmake
    ├── spi_tb.mpf
    ├── vsim.wlf
    └── work
        ├── _info
        ├── _lib.qdb
        ├── _lib1_0.qdb
        ├── _lib1_0.qpg
        ├── _lib1_0.qtl
        └── _vmake

49 directories, 131 files


【核心代码】from clipboardfrom clipboard

标签: verilog UART

实例下载地址

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警