在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 硬件FFT--IP核使用 ALTERA--DE2亲自编写,亲测

硬件FFT--IP核使用 ALTERA--DE2亲自编写,亲测

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:30.34M
  • 下载次数:0
  • 浏览次数:117
  • 发布时间:2020-10-31
  • 实例类别:一般编程问题
  • 发 布 人:robot666
  • 文件格式:.zip
  • 所需积分:2
 

实例介绍

【实例简介】
分给的再高都不过分 决定能用,SingalTAP自己看波形 DE2板子可以用,AD采样用的一个很随意的并行AD,EP2CQ208的芯片要自己改下SingaltapII的存储深度,如果这个自己都编译不过,理解不了的话,就放弃FFT吧
【实例截图】
【核心代码】
FFT_IFFT_Protype_2__Expand_4__ABS
└── FFT_IFFT_Protype_2__Expand_4__ABS
├── ADC_CLK_GEN.bsf
├── ADC_CLK_out.v
├── ADC_CLK_out.v.bak
├── code_change.bsf
├── code_change.v
├── code_change.v.bak
├── db
│   ├── add_sub_2jj.tdf
│   ├── add_sub_3jj.tdf
│   ├── add_sub_4jj.tdf
│   ├── add_sub_4sc.tdf
│   ├── add_sub_7vj.tdf
│   ├── add_sub_uij.tdf
│   ├── a_dpfifo_6k81.tdf
│   ├── a_dpfifo_7k81.tdf
│   ├── altsyncram_0hq1.tdf
│   ├── altsyncram_0t14.tdf
│   ├── altsyncram_1g91.tdf
│   ├── altsyncram_1hq1.tdf
│   ├── altsyncram_1t14.tdf
│   ├── altsyncram_2391.tdf
│   ├── altsyncram_2g91.tdf
│   ├── altsyncram_2hq1.tdf
│   ├── altsyncram_2q14.tdf
│   ├── altsyncram_2t14.tdf
│   ├── altsyncram_3391.tdf
│   ├── altsyncram_3g91.tdf
│   ├── altsyncram_3hq1.tdf
│   ├── altsyncram_4391.tdf
│   ├── altsyncram_4hq1.tdf
│   ├── altsyncram_5hq1.tdf
│   ├── altsyncram_6hq1.tdf
│   ├── altsyncram_7h91.tdf
│   ├── altsyncram_7hq1.tdf
│   ├── altsyncram_8h91.tdf
│   ├── altsyncram_8hq1.tdf
│   ├── altsyncram_9h91.tdf
│   ├── altsyncram_9hq1.tdf
│   ├── altsyncram_ae91.tdf
│   ├── altsyncram_aeq1.tdf
│   ├── altsyncram_ahq1.tdf
│   ├── altsyncram_be91.tdf
│   ├── altsyncram_ce91.tdf
│   ├── altsyncram_ch91.tdf
│   ├── altsyncram_cju3.tdf
│   ├── altsyncram_cof1.tdf
│   ├── altsyncram_dh91.tdf
│   ├── altsyncram_eh91.tdf
│   ├── altsyncram_eof1.tdf
│   ├── altsyncram_fe91.tdf
│   ├── altsyncram_ge91.tdf
│   ├── altsyncram_gju3.tdf
│   ├── altsyncram_gs14.tdf
│   ├── altsyncram_he91.tdf
│   ├── altsyncram_iju3.tdf
│   ├── altsyncram_is14.tdf
│   ├── altsyncram_ks14.tdf
│   ├── altsyncram_ls14.tdf
│   ├── altsyncram_ms14.tdf
│   ├── altsyncram_ns14.tdf
│   ├── altsyncram_ogq1.tdf
│   ├── altsyncram_os14.tdf
│   ├── altsyncram_ps14.tdf
│   ├── altsyncram_qgq1.tdf
│   ├── altsyncram_qs14.tdf
│   ├── altsyncram_rs14.tdf
│   ├── altsyncram_sf91.tdf
│   ├── altsyncram_sgq1.tdf
│   ├── altsyncram_sp14.tdf
│   ├── altsyncram_ss14.tdf
│   ├── altsyncram_t291.tdf
│   ├── altsyncram_tf91.tdf
│   ├── altsyncram_tgq1.tdf
│   ├── altsyncram_ts14.tdf
│   ├── altsyncram_u291.tdf
│   ├── altsyncram_uf91.tdf
│   ├── altsyncram_ugq1.tdf
│   ├── altsyncram_um14.tdf
│   ├── altsyncram_up14.tdf
│   ├── altsyncram_us14.tdf
│   ├── altsyncram_v291.tdf
│   ├── altsyncram_vgq1.tdf
│   ├── altsyncram_vs14.tdf
│   ├── cmpr_5cc.tdf
│   ├── cmpr_8cc.tdf
│   ├── cmpr_9cc.tdf
│   ├── cmpr_acc.tdf
│   ├── cmpr_bcc.tdf
│   ├── cmpr_un8.tdf
│   ├── cntr_02j.tdf
│   ├── cntr_0ci.tdf
│   ├── cntr_1ci.tdf
│   ├── cntr_2ci.tdf
│   ├── cntr_3ci.tdf
│   ├── cntr_45j.tdf
│   ├── cntr_4ci.tdf
│   ├── cntr_5ci.tdf
│   ├── cntr_65j.tdf
│   ├── cntr_6ci.tdf
│   ├── cntr_7ci.tdf
│   ├── cntr_8ci.tdf
│   ├── cntr_9ci.tdf
│   ├── cntr_bjb.tdf
│   ├── cntr_cjb.tdf
│   ├── cntr_dai.tdf
│   ├── cntr_gui.tdf
│   ├── cntr_m4j.tdf
│   ├── cntr_oj7.tdf
│   ├── cntr_qbi.tdf
│   ├── cntr_sbi.tdf
│   ├── cntr_tbi.tdf
│   ├── cntr_u4j.tdf
│   ├── cntr_ubi.tdf
│   ├── cntr_v1j.tdf
│   ├── cntr_vbi.tdf
│   ├── decode_1oa.tdf
│   ├── decode_rqf.tdf
│   ├── ded_mult_7v81.tdf
│   ├── ded_mult_t191.tdf
│   ├── dffpipe_a3c.tdf
│   ├── dffpipe_b3c.tdf
│   ├── fft_t.(0).cnf.cdb
│   ├── fft_t.(0).cnf.hdb
│   ├── fft_t.(100).cnf.cdb
│   ├── fft_t.(100).cnf.hdb
│   ├── fft_t.(101).cnf.cdb
│   ├── fft_t.(101).cnf.hdb
│   ├── fft_t.(102).cnf.cdb
│   ├── fft_t.(102).cnf.hdb
│   ├── fft_t.(103).cnf.cdb
│   ├── fft_t.(103).cnf.hdb
│   ├── fft_t.(104).cnf.cdb
│   ├── fft_t.(104).cnf.hdb
│   ├── fft_t.(105).cnf.cdb
│   ├── fft_t.(105).cnf.hdb
│   ├── fft_t.(106).cnf.cdb
│   ├── fft_t.(106).cnf.hdb
│   ├── fft_t.(107).cnf.cdb
│   ├── fft_t.(107).cnf.hdb
│   ├── fft_t.(108).cnf.cdb
│   ├── fft_t.(108).cnf.hdb
│   ├── fft_t.(109).cnf.cdb
│   ├── fft_t.(109).cnf.hdb
│   ├── fft_t.(10).cnf.cdb
│   ├── fft_t.(10).cnf.hdb
│   ├── fft_t.(110).cnf.cdb
│   ├── fft_t.(110).cnf.hdb
│   ├── fft_t.(111).cnf.cdb
│   ├── fft_t.(111).cnf.hdb
│   ├── fft_t.(112).cnf.cdb
│   ├── fft_t.(112).cnf.hdb
│   ├── fft_t.(113).cnf.cdb
│   ├── fft_t.(113).cnf.hdb
│   ├── fft_t.(114).cnf.cdb
│   ├── fft_t.(114).cnf.hdb
│   ├── fft_t.(115).cnf.cdb
│   ├── fft_t.(115).cnf.hdb
│   ├── fft_t.(116).cnf.cdb
│   ├── fft_t.(116).cnf.hdb
│   ├── fft_t.(117).cnf.cdb
│   ├── fft_t.(117).cnf.hdb
│   ├── fft_t.(118).cnf.cdb
│   ├── fft_t.(118).cnf.hdb
│   ├── fft_t.(119).cnf.cdb
│   ├── fft_t.(119).cnf.hdb
│   ├── fft_t.(11).cnf.cdb
│   ├── fft_t.(11).cnf.hdb
│   ├── fft_t.(120).cnf.cdb
│   ├── fft_t.(120).cnf.hdb
│   ├── fft_t.(121).cnf.cdb
│   ├── fft_t.(121).cnf.hdb
│   ├── fft_t.(122).cnf.cdb
│   ├── fft_t.(122).cnf.hdb
│   ├── fft_t.(123).cnf.cdb
│   ├── fft_t.(123).cnf.hdb
│   ├── fft_t.(124).cnf.cdb
│   ├── fft_t.(124).cnf.hdb
│   ├── fft_t.(125).cnf.cdb
│   ├── fft_t.(125).cnf.hdb
│   ├── fft_t.(126).cnf.cdb
│   ├── fft_t.(126).cnf.hdb
│   ├── fft_t.(127).cnf.cdb
│   ├── fft_t.(127).cnf.hdb
│   ├── fft_t.(128).cnf.cdb
│   ├── fft_t.(128).cnf.hdb
│   ├── fft_t.(129).cnf.cdb
│   ├── fft_t.(129).cnf.hdb
│   ├── fft_t.(12).cnf.cdb
│   ├── fft_t.(12).cnf.hdb
│   ├── fft_t.(130).cnf.cdb
│   ├── fft_t.(130).cnf.hdb
│   ├── fft_t.(131).cnf.cdb
│   ├── fft_t.(131).cnf.hdb
│   ├── fft_t.(132).cnf.cdb
│   ├── fft_t.(132).cnf.hdb
│   ├── fft_t.(133).cnf.cdb
│   ├── fft_t.(133).cnf.hdb
│   ├── fft_t.(134).cnf.cdb
│   ├── fft_t.(134).cnf.hdb
│   ├── fft_t.(135).cnf.cdb
│   ├── fft_t.(135).cnf.hdb
│   ├── fft_t.(136).cnf.cdb
│   ├── fft_t.(136).cnf.hdb
│   ├── fft_t.(137).cnf.cdb
│   ├── fft_t.(137).cnf.hdb
│   ├── fft_t.(138).cnf.cdb
│   ├── fft_t.(138).cnf.hdb
│   ├── fft_t.(139).cnf.cdb
│   ├── fft_t.(139).cnf.hdb
│   ├── fft_t.(13).cnf.cdb
│   ├── fft_t.(13).cnf.hdb
│   ├── fft_t.(140).cnf.cdb
│   ├── fft_t.(140).cnf.hdb
│   ├── fft_t.(141).cnf.cdb
│   ├── fft_t.(141).cnf.hdb
│   ├── fft_t.(142).cnf.cdb
│   ├── fft_t.(142).cnf.hdb
│   ├── fft_t.(143).cnf.cdb
│   ├── fft_t.(143).cnf.hdb
│   ├── fft_t.(144).cnf.cdb
│   ├── fft_t.(144).cnf.hdb
│   ├── fft_t.(145).cnf.cdb
│   ├── fft_t.(145).cnf.hdb
│   ├── fft_t.(146).cnf.cdb
│   ├── fft_t.(146).cnf.hdb
│   ├── fft_t.(147).cnf.cdb
│   ├── fft_t.(147).cnf.hdb
│   ├── fft_t.(148).cnf.cdb
│   ├── fft_t.(148).cnf.hdb
│   ├── fft_t.(149).cnf.cdb
│   ├── fft_t.(149).cnf.hdb
│   ├── fft_t.(14).cnf.cdb
│   ├── fft_t.(14).cnf.hdb
│   ├── fft_t.(150).cnf.cdb
│   ├── fft_t.(150).cnf.hdb
│   ├── fft_t.(151).cnf.cdb
│   ├── fft_t.(151).cnf.hdb
│   ├── fft_t.(152).cnf.cdb
│   ├── fft_t.(152).cnf.hdb
│   ├── fft_t.(153).cnf.cdb
│   ├── fft_t.(153).cnf.hdb
│   ├── fft_t.(154).cnf.cdb
│   ├── fft_t.(154).cnf.hdb
│   ├── fft_t.(155).cnf.cdb
│   ├── fft_t.(155).cnf.hdb
│   ├── fft_t.(156).cnf.cdb
│   ├── fft_t.(156).cnf.hdb
│   ├── fft_t.(157).cnf.cdb
│   ├── fft_t.(157).cnf.hdb
│   ├── fft_t.(158).cnf.cdb
│   ├── fft_t.(158).cnf.hdb
│   ├── fft_t.(159).cnf.cdb
│   ├── fft_t.(159).cnf.hdb
│   ├── fft_t.(15).cnf.cdb
│   ├── fft_t.(15).cnf.hdb
│   ├── fft_t.(160).cnf.cdb
│   ├── fft_t.(160).cnf.hdb
│   ├── fft_t.(161).cnf.cdb
│   ├── fft_t.(161).cnf.hdb
│   ├── fft_t.(162).cnf.cdb
│   ├── fft_t.(162).cnf.hdb
│   ├── fft_t.(163).cnf.cdb
│   ├── fft_t.(163).cnf.hdb
│   ├── fft_t.(164).cnf.cdb
│   ├── fft_t.(164).cnf.hdb
│   ├── fft_t.(165).cnf.cdb
│   ├── fft_t.(165).cnf.hdb
│   ├── fft_t.(166).cnf.cdb
│   ├── fft_t.(166).cnf.hdb
│   ├── fft_t.(167).cnf.cdb
│   ├── fft_t.(167).cnf.hdb
│   ├── fft_t.(168).cnf.cdb
│   ├── fft_t.(168).cnf.hdb
│   ├── fft_t.(169).cnf.cdb
│   ├── fft_t.(169).cnf.hdb
│   ├── fft_t.(16).cnf.cdb
│   ├── fft_t.(16).cnf.hdb
│   ├── fft_t.(170).cnf.cdb
│   ├── fft_t.(170).cnf.hdb
│   ├── fft_t.(171).cnf.cdb
│   ├── fft_t.(171).cnf.hdb
│   ├── fft_t.(172).cnf.cdb
│   ├── fft_t.(172).cnf.hdb
│   ├── fft_t.(173).cnf.cdb
│   ├── fft_t.(173).cnf.hdb
│   ├── fft_t.(174).cnf.cdb
│   ├── fft_t.(174).cnf.hdb
│   ├── fft_t.(175).cnf.cdb
│   ├── fft_t.(175).cnf.hdb
│   ├── fft_t.(176).cnf.cdb
│   ├── fft_t.(176).cnf.hdb
│   ├── fft_t.(177).cnf.cdb
│   ├── fft_t.(177).cnf.hdb
│   ├── fft_t.(178).cnf.cdb
│   ├── fft_t.(178).cnf.hdb
│   ├── fft_t.(179).cnf.cdb
│   ├── fft_t.(179).cnf.hdb
│   ├── fft_t.(17).cnf.cdb
│   ├── fft_t.(17).cnf.hdb
│   ├── fft_t.(180).cnf.cdb
│   ├── fft_t.(180).cnf.hdb
│   ├── fft_t.(18).cnf.cdb
│   ├── fft_t.(18).cnf.hdb
│   ├── fft_t.(19).cnf.cdb
│   ├── fft_t.(19).cnf.hdb
│   ├── fft_t.(1).cnf.cdb
│   ├── fft_t.(1).cnf.hdb
│   ├── fft_t.(20).cnf.cdb
│   ├── fft_t.(20).cnf.hdb
│   ├── fft_t.(21).cnf.cdb
│   ├── fft_t.(21).cnf.hdb
│   ├── fft_t.(22).cnf.cdb
│   ├── fft_t.(22).cnf.hdb
│   ├── fft_t.(23).cnf.cdb
│   ├── fft_t.(23).cnf.hdb
│   ├── fft_t.(24).cnf.cdb
│   ├── fft_t.(24).cnf.hdb
│   ├── fft_t.(25).cnf.cdb
│   ├── fft_t.(25).cnf.hdb
│   ├── fft_t.(26).cnf.cdb
│   ├── fft_t.(26).cnf.hdb
│   ├── fft_t.(27).cnf.cdb
│   ├── fft_t.(27).cnf.hdb
│   ├── fft_t.(28).cnf.cdb
│   ├── fft_t.(28).cnf.hdb
│   ├── fft_t.(29).cnf.cdb
│   ├── fft_t.(29).cnf.hdb
│   ├── fft_t.(2).cnf.cdb
│   ├── fft_t.(2).cnf.hdb
│   ├── fft_t.(30).cnf.cdb
│   ├── fft_t.(30).cnf.hdb
│   ├── fft_t.(31).cnf.cdb
│   ├── fft_t.(31).cnf.hdb
│   ├── fft_t.(32).cnf.cdb
│   ├── fft_t.(32).cnf.hdb
│   ├── fft_t.(33).cnf.cdb
│   ├── fft_t.(33).cnf.hdb
│   ├── fft_t.(34).cnf.cdb
│   ├── fft_t.(34).cnf.hdb
│   ├── fft_t.(35).cnf.cdb
│   ├── fft_t.(35).cnf.hdb
│   ├── fft_t.(36).cnf.cdb
│   ├── fft_t.(36).cnf.hdb
│   ├── fft_t.(37).cnf.cdb
│   ├── fft_t.(37).cnf.hdb
│   ├── fft_t.(38).cnf.cdb
│   ├── fft_t.(38).cnf.hdb
│   ├── fft_t.(39).cnf.cdb
│   ├── fft_t.(39).cnf.hdb
│   ├── fft_t.(3).cnf.cdb
│   ├── fft_t.(3).cnf.hdb
│   ├── fft_t.(40).cnf.cdb
│   ├── fft_t.(40).cnf.hdb
│   ├── fft_t.(41).cnf.cdb
│   ├── fft_t.(41).cnf.hdb
│   ├── fft_t.(42).cnf.cdb
│   ├── fft_t.(42).cnf.hdb
│   ├── fft_t.(43).cnf.cdb
│   ├── fft_t.(43).cnf.hdb
│   ├── fft_t.(44).cnf.cdb
│   ├── fft_t.(44).cnf.hdb
│   ├── fft_t.(45).cnf.cdb
│   ├── fft_t.(45).cnf.hdb
│   ├── fft_t.(46).cnf.cdb
│   ├── fft_t.(46).cnf.hdb
│   ├── fft_t.(47).cnf.cdb
│   ├── fft_t.(47).cnf.hdb
│   ├── fft_t.(48).cnf.cdb
│   ├── fft_t.(48).cnf.hdb
│   ├── fft_t.(49).cnf.cdb
│   ├── fft_t.(49).cnf.hdb
│   ├── fft_t.(4).cnf.cdb
│   ├── fft_t.(4).cnf.hdb
│   ├── fft_t.(50).cnf.cdb
│   ├── fft_t.(50).cnf.hdb
│   ├── fft_t.(51).cnf.cdb
│   ├── fft_t.(51).cnf.hdb
│   ├── fft_t.(52).cnf.cdb
│   ├── fft_t.(52).cnf.hdb
│   ├── fft_t.(53).cnf.cdb
│   ├── fft_t.(53).cnf.hdb
│   ├── fft_t.(54).cnf.cdb
│   ├── fft_t.(54).cnf.hdb
│   ├── fft_t.(55).cnf.cdb
│   ├── fft_t.(55).cnf.hdb
│   ├── fft_t.(56).cnf.cdb
│   ├── fft_t.(56).cnf.hdb
│   ├── fft_t.(57).cnf.cdb
│   ├── fft_t.(57).cnf.hdb
│   ├── fft_t.(58).cnf.cdb
│   ├── fft_t.(58).cnf.hdb
│   ├── fft_t.(59).cnf.cdb
│   ├── fft_t.(59).cnf.hdb
│   ├── fft_t.(5).cnf.cdb
│   ├── fft_t.(5).cnf.hdb
│   ├── fft_t.(60).cnf.cdb
│   ├── fft_t.(60).cnf.hdb
│   ├── fft_t.(61).cnf.cdb
│   ├── fft_t.(61).cnf.hdb
│   ├── fft_t.(62).cnf.cdb
│   ├── fft_t.(62).cnf.hdb
│   ├── fft_t.(63).cnf.cdb
│   ├── fft_t.(63).cnf.hdb
│   ├── fft_t.(64).cnf.cdb
│   ├── fft_t.(64).cnf.hdb
│   ├── fft_t.(65).cnf.cdb
│   ├── fft_t.(65).cnf.hdb
│   ├── fft_t.(66).cnf.cdb
│   ├── fft_t.(66).cnf.hdb
│   ├── fft_t.(67).cnf.cdb
│   ├── fft_t.(67).cnf.hdb
│   ├── fft_t.(68).cnf.cdb
│   ├── fft_t.(68).cnf.hdb
│   ├── fft_t.(69).cnf.cdb
│   ├── fft_t.(69).cnf.hdb
│   ├── fft_t.(6).cnf.cdb
│   ├── fft_t.(6).cnf.hdb
│   ├── fft_t.(70).cnf.cdb
│   ├── fft_t.(70).cnf.hdb
│   ├── fft_t.(71).cnf.cdb
│   ├── fft_t.(71).cnf.hdb
│   ├── fft_t.(72).cnf.cdb
│   ├── fft_t.(72).cnf.hdb
│   ├── fft_t.(73).cnf.cdb
│   ├── fft_t.(73).cnf.hdb
│   ├── fft_t.(74).cnf.cdb
│   ├── fft_t.(74).cnf.hdb
│   ├── fft_t.(75).cnf.cdb
│   ├── fft_t.(75).cnf.hdb
│   ├── fft_t.(76).cnf.cdb
│   ├── fft_t.(76).cnf.hdb
│   ├── fft_t.(77).cnf.cdb
│   ├── fft_t.(77).cnf.hdb
│   ├── fft_t.(78).cnf.cdb
│   ├── fft_t.(78).cnf.hdb
│   ├── fft_t.(79).cnf.cdb
│   ├── fft_t.(79).cnf.hdb
│   ├── fft_t.(7).cnf.cdb
│   ├── fft_t.(7).cnf.hdb
│   ├── fft_t.(80).cnf.cdb
│   ├── fft_t.(80).cnf.hdb
│   ├── fft_t.(81).cnf.cdb
│   ├── fft_t.(81).cnf.hdb
│   ├── fft_t.(82).cnf.cdb
│   ├── fft_t.(82).cnf.hdb
│   ├── fft_t.(83).cnf.cdb
│   ├── fft_t.(83).cnf.hdb
│   ├── fft_t.(84).cnf.cdb
│   ├── fft_t.(84).cnf.hdb
│   ├── fft_t.(85).cnf.cdb
│   ├── fft_t.(85).cnf.hdb
│   ├── fft_t.(86).cnf.cdb
│   ├── fft_t.(86).cnf.hdb
│   ├── fft_t.(87).cnf.cdb
│   ├── fft_t.(87).cnf.hdb
│   ├── fft_t.(88).cnf.cdb
│   ├── fft_t.(88).cnf.hdb
│   ├── fft_t.(89).cnf.cdb
│   ├── fft_t.(89).cnf.hdb
│   ├── fft_t.(8).cnf.cdb
│   ├── fft_t.(8).cnf.hdb
│   ├── fft_t.(90).cnf.cdb
│   ├── fft_t.(90).cnf.hdb
│   ├── fft_t.(91).cnf.cdb
│   ├── fft_t.(91).cnf.hdb
│   ├── fft_t.(92).cnf.cdb
│   ├── fft_t.(92).cnf.hdb
│   ├── fft_t.(93).cnf.cdb
│   ├── fft_t.(93).cnf.hdb
│   ├── fft_t.(94).cnf.cdb
│   ├── fft_t.(94).cnf.hdb
│   ├── fft_t.(95).cnf.cdb
│   ├── fft_t.(95).cnf.hdb
│   ├── fft_t.(96).cnf.cdb
│   ├── fft_t.(96).cnf.hdb
│   ├── fft_t.(97).cnf.cdb
│   ├── fft_t.(97).cnf.hdb
│   ├── fft_t.(98).cnf.cdb
│   ├── fft_t.(98).cnf.hdb
│   ├── fft_t.(99).cnf.cdb
│   ├── fft_t.(99).cnf.hdb
│   ├── fft_t.(9).cnf.cdb
│   ├── fft_t.(9).cnf.hdb
│   ├── fft_t.ae.hdb
│   ├── fft_t.amm.cdb
│   ├── fft_t.asm_labs.ddb
│   ├── fft_t.asm.qmsg
│   ├── fft_t.asm.rdb
│   ├── fft_t.autoh_e4eb1.map.reg_db.cdb
│   ├── fft_t.autos_3e921.map.reg_db.cdb
│   ├── fft_t.cbx.xml
│   ├── fft_t.cmp0.ddb
│   ├── fft_t.cmp1.ddb
│   ├── fft_t.cmp.bpm
│   ├── fft_t.cmp.cdb
│   ├── fft_t.cmp.hdb
│   ├── fft_t.cmp.kpt
│   ├── fft_t.cmp.logdb
│   ├── fft_t.cmp_merge.kpt
│   ├── fft_t.cmp.rdb
│   ├── fft_t.db_info
│   ├── fft_t.eda.qmsg
│   ├── fft_t.fit.qmsg
│   ├── fft_t.hier_info
│   ├── fft_t.hif
│   ├── fft_t.idb.cdb
│   ├── fft_t.lpc.html
│   ├── fft_t.lpc.rdb
│   ├── fft_t.lpc.txt
│   ├── fft_t.map_bb.cdb
│   ├── fft_t.map_bb.hdb
│   ├── fft_t.map_bb.logdb
│   ├── fft_t.map.bpm
│   ├── fft_t.map.cdb
│   ├── fft_t.map.hdb
│   ├── fft_t.map.kpt
│   ├── fft_t.map.logdb
│   ├── fft_t.map.qmsg
│   ├── fft_t.map.rcfdb
│   ├── fft_t.merge.qmsg
│   ├── fft_t.pre_map.cdb
│   ├── fft_t.pre_map.hdb
│   ├── fft_t.root_partition.map.reg_db.cdb
│   ├── fft_t.rtlv.hdb
│   ├── fft_t.rtlv_sg.cdb
│   ├── fft_t.rtlv_sg_swap.cdb
│   ├── fft_t.sgdiff.cdb
│   ├── fft_t.sgdiff.hdb
│   ├── fft_t.sld_design_entry_dsc.sci
│   ├── fft_t.sld_design_entry.sci
│   ├── fft_t.smart_action.txt
│   ├── fft_t.smp_dump.txt
│   ├── fft_t.sta_cmp.6_slow.tdb
│   ├── fft_t.sta.qmsg
│   ├── fft_t.sta.rdb
│   ├── fft_t.syn_hier_info
│   ├── fft_t.tis_db_list.ddb
│   ├── logic_util_heursitic.dat
│   ├── mult_add_g7f2.tdf
│   ├── mult_add_h8f2.tdf
│   ├── mult_add_r4f2.tdf
│   ├── mult_add_s5f2.tdf
│   ├── mux_6kb.tdf
│   ├── mux_7oc.tdf
│   ├── mux_9oc.tdf
│   ├── mux_aoc.tdf
│   ├── mux_boc.tdf
│   ├── mux_doc.tdf
│   ├── mux_eoc.tdf
│   ├── prev_cmp_fft_t.qmsg
│   ├── scfifo_d9h1.tdf
│   └── scfifo_e9h1.tdf
├── fft_contrl.v
├── fft_contrl.v.bak
├── fft_control.bsf
├── fft_core_1n1024cos.hex
├── fft_core_1n1024sin.hex
├── fft_core_1n512cos.hex
├── fft_core_1n512sin.hex
├── fft_core_2n1024cos.hex
├── fft_core_2n1024sin.hex
├── fft_core_2n512cos.hex
├── fft_core_2n512sin.hex
├── fft_core_3n1024cos.hex
├── fft_core_3n1024sin.hex
├── fft_core_3n512cos.hex
├── fft_core_3n512sin.hex
├── fft_core_bb.v
├── fft_core.bsf
├── fft_core.html
├── fft_core_imag_input.txt
├── fft_core_model.m
├── fft_core_nativelink.tcl
├── fft_core.qip
├── fft_core_real_input.txt
├── fft_core_syn.v
├── fft_core_tb.m
├── fft_core_tb.v
├── fft_core_tb.v.bak
├── fft_core_tb.vhd
├── fft_core.v
├── fft_core.vo
├── fft_fifo_design.bsf
├── fft_fifo_design.v
├── fft_fifo_design.v.bak
├── fft-library
│   ├── apn_fft_cmult_cpx2_fft_111.vhd
│   ├── apn_fft_cmult_cpx_fft_111.vhd
│   ├── apn_fftfp_add_fft_111.vhd
│   ├── apn_fftfp_core_fft_111.vhd
│   ├── apn_fftfp_del_fft_111.vhd
│   ├── apn_fftfp_dft4_fft_111.vhd
│   ├── apn_fftfp_fft4_fft_111.vhd
│   ├── apn_fftfp_laststage_fft_111.vhd
│   ├── apn_fftfp_lsft32_fft_111.vhd
│   ├── apn_fftfp_mul_2727_fft_111.vhd
│   ├── apn_fftfp_mul_fft_111.vhd
│   ├── apn_fftfp_ram_fft_111.vhd
│   ├── apn_fftfp_rsft32_fft_111.vhd
│   ├── apn_fftfp_rvsctl_fft_111.vhd
│   ├── apn_fftfp_rvs_fft_111.vhd
│   ├── apn_fftfp_shift_fft_111.vhd
│   ├── apn_fftfp_snorm_fft_111.vhd
│   ├── apn_fftfp_snorm_mul_fft_111.vhd
│   ├── apn_fftfp_stage_fft_111.vhd
│   ├── apn_fftfp_sub_fft_111.vhd
│   ├── apn_fftfp_top_fft_111.ocp
│   ├── apn_fftfp_top_fft_111.vhd
│   ├── apn_fftfp_twiddle_fft_111.vhd
│   ├── apn_fftfp_twiddle_opt_fft_111.vhd
│   ├── apn_fftfp_unorm_fft_111.vhd
│   ├── apn_fft_mult_can_fft_111.vhd
│   ├── apn_fft_mult_cpx_1825_fft_111.v
│   ├── apn_fft_mult_cpx_fft_111.vhd
│   ├── apn_hcc_cntsgn32_fft_111.vhd
│   ├── apn_hcc_cntusgn32_fft_111.vhd
│   ├── apn_hcc_sgnpstn_fft_111.vhd
│   ├── apn_hcc_usgnpos_fft_111.vhd
│   ├── asj_fft_1dp_ram_fft_111.vhd
│   ├── asj_fft_1tdp_rom_fft_111.vhd
│   ├── asj_fft_3dp_rom_fft_111.vhd
│   ├── asj_fft_3pi_mram_fft_111.vhd
│   ├── asj_fft_3tdp_rom_fft_111.vhd
│   ├── asj_fft_4dp_ram_fft_111.vhd
│   ├── asj_fft_6tdp_rom_fft_111.vhd
│   ├── asj_fft_alt_shift_tdl_fft_111.vhd
│   ├── asj_fft_bfp_ctrl_fft_111.vhd
│   ├── asj_fft_bfp_i_1pt_fft_111.vhd
│   ├── asj_fft_bfp_i_fft_111.vhd
│   ├── asj_fft_bfp_o_1pt_fft_111.vhd
│   ├── asj_fft_bfp_o_fft_111.vhd
│   ├── asj_fft_burst_ctrl_de_fft_111.vhd
│   ├── asj_fft_burst_ctrl_fft_111.vhd
│   ├── asj_fft_burst_ctrl_qe_fft_111.vhd
│   ├── asj_fft_cmult_can_fft_111.vhd
│   ├── asj_fft_cmult_std_fft_111.vhd
│   ├── asj_fft_cnt_ctrl_de_fft_111.vhd
│   ├── asj_fft_cnt_ctrl_fft_111.vhd
│   ├── asj_fft_cxb_addr_fft_111.vhd
│   ├── asj_fft_cxb_data_fft_111.vhd
│   ├── asj_fft_cxb_data_mram_fft_111.vhd
│   ├── asj_fft_cxb_data_r_fft_111.vhd
│   ├── asj_fft_dataadgen_fft_111.vhd
│   ├── asj_fft_data_ram_dp_fft_111.vhd
│   ├── asj_fft_data_ram_fft_111.vhd
│   ├── asj_fft_dft_bfp_fft_111.vhd
│   ├── asj_fft_dft_bfp_sgl_fft_111.vhd
│   ├── asj_fft_dpi_mram_fft_111.vhd
│   ├── asj_fft_dp_mram_fft_111.vhd
│   ├── asj_fft_dualstream_fft_111.ocp
│   ├── asj_fft_dualstream_fft_111.vhd
│   ├── asj_fft_in_write_sgl_fft_111.vhd
│   ├── asj_fft_lcm_mult_2m_fft_111.vhd
│   ├── asj_fft_lcm_mult_fft_111.vhd
│   ├── asj_fft_lpp_fft_111.vhd
│   ├── asj_fft_lpprdadgen_fft_111.vhd
│   ├── asj_fft_lpprdadr2gen_fft_111.vhd
│   ├── asj_fft_lpp_serial_fft_111.vhd
│   ├── asj_fft_lpp_serial_r2_fft_111.vhd
│   ├── asj_fft_m_k_counter_fft_111.vhd
│   ├── asj_fft_mult_add_fft_111.vhd
│   ├── asj_fft_pround_fft_111.vhd
│   ├── asj_fft_sglstream_fft_111.ocp
│   ├── asj_fft_sglstream_fft_111.vhd
│   ├── asj_fft_si_de_so_bb_fft_111.ocp
│   ├── asj_fft_si_de_so_bb_fft_111.vhd
│   ├── asj_fft_si_de_so_b_fft_111.ocp
│   ├── asj_fft_si_de_so_b_fft_111.vhd
│   ├── asj_fft_si_qe_so_bb_fft_111.ocp
│   ├── asj_fft_si_qe_so_bb_fft_111.vhd
│   ├── asj_fft_si_qe_so_b_fft_111.ocp
│   ├── asj_fft_si_qe_so_b_fft_111.vhd
│   ├── asj_fft_si_se_so_bb_fft_111.ocp
│   ├── asj_fft_si_se_so_bb_fft_111.vhd
│   ├── asj_fft_si_se_so_b_fft_111.ocp
│   ├── asj_fft_si_se_so_b_fft_111.vhd
│   ├── asj_fft_si_so_se_so_b_fft_111.ocp
│   ├── asj_fft_si_sose_so_b_fft_111.ocp
│   ├── asj_fft_si_sose_so_b_fft_111.vhd
│   ├── asj_fft_tdl_bit_fft_111.vhd
│   ├── asj_fft_tdl_bit_rst_fft_111.vhd
│   ├── asj_fft_tdl_fft_111.vhd
│   ├── asj_fft_tdl_rst_fft_111.vhd
│   ├── asj_fft_twadgen_dual_fft_111.vhd
│   ├── asj_fft_twadgen_fft_111.vhd
│   ├── asj_fft_twadsogen_fft_111.vhd
│   ├── asj_fft_twadsogen_q_fft_111.vhd
│   ├── asj_fft_twiddle_ctrl_qe_fft_111.vhd
│   ├── asj_fft_twid_rom_tdp_fft_111.vhd
│   ├── asj_fft_unbburst_ctrl_de_fft_111.vhd
│   ├── asj_fft_unbburst_ctrl_fft_111.vhd
│   ├── asj_fft_unbburst_ctrl_qe_fft_111.vhd
│   ├── asj_fft_unbburst_sose_ctrl_fft_111.vhd
│   ├── asj_fft_wrengen_fft_111.vhd
│   ├── asj_fft_wrswgen_fft_111.vhd
│   ├── auk_dspip_avalon_streaming_block_sink_fft_111.vhd
│   ├── auk_dspip_avalon_streaming_block_source_fft_111.vhd
│   ├── auk_dspip_avalon_streaming_controller_fft_111.vhd
│   ├── auk_dspip_avalon_streaming_controller_pe_fft_111.vhd
│   ├── auk_dspip_avalon_streaming_monitor_fft_111.vhd
│   ├── auk_dspip_avalon_streaming_sink_fft_111.vhd
│   ├── auk_dspip_avalon_streaming_sink_model_fft_111.vhd
│   ├── auk_dspip_avalon_streaming_source_fft_111.vhd
│   ├── auk_dspip_avalon_streaming_source_from_monitor_fft_111.vhd
│   ├── auk_dspip_avalon_streaming_source_model_fft_111.vhd
│   ├── auk_dspip_bit_reverse_addr_control_fft_111.vhd
│   ├── auk_dspip_bit_reverse_core_fft_111.vhd
│   ├── auk_dspip_bit_reverse_reverse_carry_adder_fft_111.vhd
│   ├── auk_dspip_bit_reverse_top_fft_111.ocp
│   ├── auk_dspip_fpcompiler_alufp_fft_111.vhd
│   ├── auk_dspip_fpcompiler_aslf_fft_111.vhd
│   ├── auk_dspip_fpcompiler_asrf_fft_111.vhd
│   ├── auk_dspip_fpcompiler_castftox_fft_111.vhd
│   ├── auk_dspip_fpcompiler_castxtof_fft_111.vhd
│   ├── auk_dspip_fpcompiler_clzf_fft_111.vhd
│   ├── auk_dspip_fpcompiler_mulfp_fft_111.vhd
│   ├── auk_dspip_lib_pkg_fft_111.vhd
│   ├── auk_dspip_math_pkg_fft_111.vhd
│   ├── auk_dspip_r22sdf_adder_fp_fft_111.vhd
│   ├── auk_dspip_r22sdf_addsub_fft_111.vhd
│   ├── auk_dspip_r22sdf_bf_control_fft_111.vhd
│   ├── auk_dspip_r22sdf_bfi_fft_111.vhd
│   ├── auk_dspip_r22sdf_bfii_fft_111.vhd
│   ├── auk_dspip_r22sdf_cma_adder_fp_fft_111.vhd
│   ├── auk_dspip_r22sdf_cma_bfi_fp_fft_111.vhd
│   ├── auk_dspip_r22sdf_cma_fft_111.vhd
│   ├── auk_dspip_r22sdf_core_fft_111.vhd
│   ├── auk_dspip_r22sdf_counter_fft_111.vhd
│   ├── auk_dspip_r22sdf_delay_fft_111.vhd
│   ├── auk_dspip_r22sdf_enable_control_fft_111.vhd
│   ├── auk_dspip_r22sdf_lib_pkg_fft_111.vhd
│   ├── auk_dspip_r22sdf_stage_fft_111.vhd
│   ├── auk_dspip_r22sdf_stg_out_pipe_fft_111.vhd
│   ├── auk_dspip_r22sdf_stg_pipe_fft_111.vhd
│   ├── auk_dspip_r22sdf_top_fft_111.ocp
│   ├── auk_dspip_r22sdf_top_fft_111.vhd
│   ├── auk_dspip_r22sdf_twrom_fft_111.vhd
│   ├── auk_dspip_roundsat_fft_111.vhd
│   ├── auk_dspip_text_pkg_fft_111.vhd
│   ├── fft_pack_fft_111.vhd
│   └── twid_rom_fft_111.vhd
├── fft_t.asm.rpt
├── fft_t.bdf
├── fft_t.done
├── fft_t.eda.rpt
├── fft_t.fit.rpt
├── fft_t.fit.smsg
├── fft_t.fit.summary
├── fft_t.flow.rpt
├── fft_t.jdi
├── fft_t.map.rpt
├── fft_t.map.smsg
├── fft_t.map.summary
├── fft_t.merge.rpt
├── fft_t_nativelink_simulation.rpt
├── fft_t.pin
├── fft_t.pof
├── fft_t.qpf
├── fft_t.qsf
├── fft_t.sof
├── fft_t.sta.rpt
├── fft_t.sta.summary
├── greybox_tmp
│   └── cbx_args.txt
├── IFFT_1n1024cos.hex
├── IFFT_1n1024sin.hex
├── IFFT_2n1024cos.hex
├── IFFT_2n1024sin.hex
├── IFFT_3n1024cos.hex
├── IFFT_3n1024sin.hex
├── IFFT_bb.v
├── IFFT.bsf
├── ifft_control.bsf
├── ifft_control.v
├── ifft_control.v.bak
├── ifft_fifo_design.bsf
├── ifft_fifo_design.v
├── IFFT.html
├── IFFT_imag_input.txt
├── IFFT_model.m
├── IFFT_nativelink.tcl
├── IFFT.qip
├── IFFT_real_input.txt
├── IFFT_tb.m
├── IFFT_tb.v
├── IFFT_tb.vhd
├── IFFT.v
├── IFFT.vo
├── incremental_db
│   ├── compiled_partitions
│   │   ├── fft_t.autoh_e4eb1.map.cdb
│   │   ├── fft_t.autoh_e4eb1.map.dpi
│   │   ├── fft_t.autoh_e4eb1.map.hdb
│   │   ├── fft_t.autoh_e4eb1.map.kpt
│   │   ├── fft_t.autoh_e4eb1.map.logdb
│   │   ├── fft_t.autos_3e921.map.cdb
│   │   ├── fft_t.autos_3e921.map.dpi
│   │   ├── fft_t.autos_3e921.map.hdb
│   │   ├── fft_t.autos_3e921.map.kpt
│   │   ├── fft_t.autos_3e921.map.logdb
│   │   ├── fft_t.db_info
│   │   ├── fft_t.root_partition.cmp.cdb
│   │   ├── fft_t.root_partition.cmp.dfp
│   │   ├── fft_t.root_partition.cmp.hdb
│   │   ├── fft_t.root_partition.cmp.kpt
│   │   ├── fft_t.root_partition.cmp.logdb
│   │   ├── fft_t.root_partition.cmp.rcfdb
│   │   ├── fft_t.root_partition.map.cdb
│   │   ├── fft_t.root_partition.map.dpi
│   │   ├── fft_t.root_partition.map.hbdb.cdb
│   │   ├── fft_t.root_partition.map.hbdb.hb_info
│   │   ├── fft_t.root_partition.map.hbdb.hdb
│   │   ├── fft_t.root_partition.map.hbdb.sig
│   │   ├── fft_t.root_partition.map.hdb
│   │   └── fft_t.root_partition.map.kpt
│   └── README
├── PLL.bsf
├── PLL.ppf
├── PLL.qip
├── PLL.v
├── simulation
│   └── modelsim
│   ├── fft_core_1n1024cos.hex
│   ├── fft_core_1n1024cos.ver
│   ├── fft_core_1n1024sin.hex
│   ├── fft_core_1n1024sin.ver
│   ├── fft_core_1n512cos.hex
│   ├── fft_core_1n512cos.ver
│   ├── fft_core_1n512sin.hex
│   ├── fft_core_1n512sin.ver
│   ├── fft_core_2n1024cos.hex
│   ├── fft_core_2n1024cos.ver
│   ├── fft_core_2n1024sin.hex
│   ├── fft_core_2n1024sin.ver
│   ├── fft_core_2n512cos.hex
│   ├── fft_core_2n512cos.ver
│   ├── fft_core_2n512sin.hex
│   ├── fft_core_2n512sin.ver
│   ├── fft_core_3n1024cos.hex
│   ├── fft_core_3n1024cos.ver
│   ├── fft_core_3n1024sin.hex
│   ├── fft_core_3n1024sin.ver
│   ├── fft_core_3n512cos.hex
│   ├── fft_core_3n512cos.ver
│   ├── fft_core_3n512sin.hex
│   ├── fft_core_3n512sin.ver
│   ├── fft_core_exponent_output_ver.txt
│   ├── fft_core_imag_input.txt
│   ├── fft_core_imag_output_ver.txt
│   ├── fft_core_real_input.txt
│   ├── fft_core_real_output_ver.txt
│   ├── fft_t_fast.vho
│   ├── fft_t_fast.vo
│   ├── fft_t_modelsim.xrf
│   ├── fft_t_run_msim_rtl_verilog.do
│   ├── fft_t_run_msim_rtl_verilog.do.bak
│   ├── fft_t_run_msim_rtl_verilog.do.bak1
│   ├── fft_t_run_msim_rtl_verilog.do.bak2
│   ├── fft_t_run_msim_rtl_verilog.do.bak3
│   ├── fft_t_run_msim_rtl_verilog.do.bak4
│   ├── fft_t_run_msim_rtl_verilog.do.bak5
│   ├── fft_t_run_msim_rtl_verilog.do.bak6
│   ├── fft_t_run_msim_rtl_verilog.do.bak7
│   ├── fft_t.sft
│   ├── fft_t_v_fast.sdo
│   ├── fft_t_vhd_fast.sdo
│   ├── fft_t_vhd.sdo
│   ├── fft_t.vho
│   ├── fft_t.vo
│   ├── fft_t_v.sdo
│   ├── IFFT_1n1024cos.hex
│   ├── IFFT_1n1024sin.hex
│   ├── IFFT_2n1024cos.hex
│   ├── IFFT_2n1024sin.hex
│   ├── IFFT_3n1024cos.hex
│   ├── IFFT_3n1024sin.hex
│   ├── msim_transcript
│   ├── rtl_work
│   │   ├── code_change
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── fft_control
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── fft_core
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── fft_fifo_design
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── @i@f@f@t
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── ifft_control
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── ifft_fifo_design
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── _info
│   │   ├── @p@l@l
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   └── _vmake
│   ├── verilog_libs
│   │   ├── altera_lnsim_ver
│   │   │   ├── altera_generic_pll_functions
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altera_lnsim_functions
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altera_mult_add
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altera_pll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altera_stratixv_pll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_accumulator_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_adder_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_coef_reg_ext_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_data_split_reg_ext_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_dynamic_signed_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_multiplier_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_preadder_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_register_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_register_with_ext_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_scanchain
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_signed_extension_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ama_systolic_adder_function
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── common_28nm_mlab_cell
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── common_28nm_mlab_cell_pulse_generator
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── common_28nm_ram_block
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── common_28nm_ram_pulse_generator
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── common_28nm_ram_register
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── generic_cdr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── generic_device_pll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── generic_m10k
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── generic_m20k
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── generic_mlab_cell
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── generic_mux
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── generic_pll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── _info
│   │   │   └── _vmake
│   │   ├── altera_mf_ver
│   │   │   ├── a_graycounter
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt3pram
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altaccumulate
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_aeq_s4
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_cal
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_cal_c3gxb
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_cal_mm
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_cal_sv
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altclklock
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altddio_bidir
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altddio_in
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altddio_out
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_dfe
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altdpram
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altera_std_synchronizer
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altera_std_synchronizer_bundle
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_eyemon
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altfp_mult
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altlvds_rx
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altlvds_tx
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altmult_accum
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altmult_add
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altparallel_flash_loader
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altpll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altserial_flash_loader
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altshift_taps
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altsource_probe
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altsqrt
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altsquare
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altstratixii_oct
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── altsyncram
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── arm_m_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── arm_n_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── arm_scale_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cda_m_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cda_n_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cda_scale_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneiiigl_post_divider
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dcfifo
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dcfifo_async
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dcfifo_dffpipe
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dcfifo_fefifo
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dcfifo_low_latency
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dcfifo_mixed_widths
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dcfifo_sync
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dffp
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dummy_hub
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── flexible_lvds_rx
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── flexible_lvds_tx
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── _info
│   │   │   ├── jtag_tap_controller
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lcell
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @m@f_cycloneiiigl_m_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @m@f_cycloneiiigl_n_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @m@f_cycloneiiigl_pll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @m@f_cycloneiiigl_scale_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @m@f_cycloneiii_pll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @m@f_pll_reg
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @m@f_stratixiii_pll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @m@f_stratixii_pll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @m@f_stratix_pll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── parallel_add
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── pll_iobuf
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── scfifo
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── signal_gen
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── sld_signaltap
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── sld_virtual_jtag
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── sld_virtual_jtag_basic
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stratixgx_dpa_lvds_rx
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stratixiii_lvds_rx
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stratixiii_lvds_rx_channel
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stratixiii_lvds_rx_dpa
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stratixii_lvds_rx
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stratixii_tx_outclk
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stratix_lvds_rx
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stratix_tx_outclk
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stratixv_local_clk_divider
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stx_m_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stx_n_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── stx_scale_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ttn_m_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ttn_n_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── ttn_scale_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   └── _vmake
│   │   ├── altera_ver
│   │   │   ├── alt_bidir_buf
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_bidir_diff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_inbuf
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_inbuf_diff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_iobuf
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_iobuf_diff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_outbuf
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_outbuf_diff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_outbuf_tri
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── alt_outbuf_tri_diff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── carry
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── carry_sum
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cascade
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── clklock
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dffe
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dffea
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dffeas
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── dlatch
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── exp
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── global
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── _info
│   │   │   ├── jkff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── jkffe
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── latch
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lut_input
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lut_output
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── opndrn
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── prim_gdff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @p@r@i@m_@g@d@f@f_@h@i@g@h
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @p@r@i@m_@g@d@f@f_@l@o@w
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── prim_gjkff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── prim_gsrff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── prim_gtff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── row_global
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── soft
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── srff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── srffe
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── tff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── tffe
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @t@r@i
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   └── _vmake
│   │   ├── cycloneii_ver
│   │   │   ├── cycloneii_and1
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_and16
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_asmiblock
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_asynch_io
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_b17mux21
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_b5mux21
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_bmux21
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_clkctrl
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_clk_delay_cal_ctrl
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_clk_delay_ctrl
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_crcblock
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_dffe
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_ena_reg
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_io
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_jtag
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_lcell_comb
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_lcell_ff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_mac_data_reg
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_mac_mult
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_mac_mult_internal
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_mac_out
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_mac_sign_reg
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_m_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_mux21
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_mux41
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_n_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_nmux21
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_pll
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_pll_reg
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @c@y@c@l@o@n@e@i@i_@p@r@i@m_@d@f@f@e
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @c@y@c@l@o@n@e@i@i_@p@r@i@m_@d@f@f@e@a@s
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @c@y@c@l@o@n@e@i@i_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_ram_block
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_ram_pulse_generator
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_ram_register
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_routing_wire
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── cycloneii_scale_cntr
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── _info
│   │   │   └── _vmake
│   │   ├── lpm_ver
│   │   │   ├── _info
│   │   │   ├── lpm_abs
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_add_sub
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_and
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_bipad
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_bustri
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_clshift
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_compare
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_constant
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_counter
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_decode
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_divide
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_ff
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_fifo
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_fifo_dc
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_fifo_dc_async
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_fifo_dc_dffpipe
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_fifo_dc_fefifo
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_inpad
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_inv
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_latch
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_mult
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_mux
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_or
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_outpad
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_ram_dp
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_ram_dq
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_ram_io
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_rom
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_shiftreg
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   ├── lpm_xor
│   │   │   │   ├── _primary.dat
│   │   │   │   ├── _primary.dbs
│   │   │   │   └── _primary.vhd
│   │   │   └── _vmake
│   │   └── sgate_ver
│   │   ├── _info
│   │   ├── io_buf_opdrn
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── io_buf_tri
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── mux21
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_add
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_addsub
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_bus_mux
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_decoder
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_div
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_latch
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_left_shift
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_less_than
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_mod
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_mult
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_mux
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_right_shift
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_rotate_left
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_rotate_right
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── oper_selector
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   ├── tri_bus
│   │   │   ├── _primary.dat
│   │   │   ├── _primary.dbs
│   │   │   └── _primary.vhd
│   │   └── _vmake
│   ├── vsim.wlf
│   ├── wlft10n6sj
│   ├── wlft6fzg6k
│   ├── wlft7g7t4w
│   ├── wlftgteeq3
│   ├── wlftime8ac
│   ├── wlftkffyh8
│   ├── wlftncy3ei
│   └── wlftq7rvs7
├── stp1.stp
├── test_point.bsf
├── test_point.v
├── test_point.v.bak
└── velocity.log

267 directories, 1651 files

标签:

实例下载地址

硬件FFT--IP核使用 ALTERA--DE2亲自编写,亲测

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警