实例介绍
中值滤波算法Quartus实现,一个计算机专业的毕业设计,Oh,shit! How can a students do this?
【实例截图】
【核心代码】
median_filter
└── median_filter
├── ~$毕业设计.wps
├── compare2num_H.v
├── compare2num_LH.v
├── compare2num_L.v
├── compare.v
├── data_source.v.bak
├── data_valuable_delay_1T.v
├── db
│ ├── a_dpfifo_an11.tdf
│ ├── a_dpfifo_so11.tdf
│ ├── altsyncram_qqd1.tdf
│ ├── altsyncram_utd1.tdf
│ ├── cmpr_ar8.tdf
│ ├── cmpr_br8.tdf
│ ├── cntr_297.tdf
│ ├── cntr_397.tdf
│ ├── cntr_l8b.tdf
│ ├── cntr_m8b.tdf
│ ├── cntr_n8b.tdf
│ ├── logic_util_heursitic.dat
│ ├── median_filter.(0).cnf.cdb
│ ├── median_filter.(0).cnf.hdb
│ ├── median_filter.(10).cnf.cdb
│ ├── median_filter.(10).cnf.hdb
│ ├── median_filter.(11).cnf.cdb
│ ├── median_filter.(11).cnf.hdb
│ ├── median_filter.(13).cnf.cdb
│ ├── median_filter.(13).cnf.hdb
│ ├── median_filter.(14).cnf.cdb
│ ├── median_filter.(14).cnf.hdb
│ ├── median_filter.(15).cnf.cdb
│ ├── median_filter.(15).cnf.hdb
│ ├── median_filter.(16).cnf.cdb
│ ├── median_filter.(16).cnf.hdb
│ ├── median_filter.(17).cnf.cdb
│ ├── median_filter.(17).cnf.hdb
│ ├── median_filter.(18).cnf.cdb
│ ├── median_filter.(18).cnf.hdb
│ ├── median_filter.(19).cnf.cdb
│ ├── median_filter.(19).cnf.hdb
│ ├── median_filter.(1).cnf.cdb
│ ├── median_filter.(1).cnf.hdb
│ ├── median_filter.(20).cnf.cdb
│ ├── median_filter.(20).cnf.hdb
│ ├── median_filter.(21).cnf.cdb
│ ├── median_filter.(21).cnf.hdb
│ ├── median_filter.(22).cnf.cdb
│ ├── median_filter.(22).cnf.hdb
│ ├── median_filter.(23).cnf.cdb
│ ├── median_filter.(23).cnf.hdb
│ ├── median_filter.(25).cnf.cdb
│ ├── median_filter.(25).cnf.hdb
│ ├── median_filter.(26).cnf.cdb
│ ├── median_filter.(26).cnf.hdb
│ ├── median_filter.(27).cnf.cdb
│ ├── median_filter.(27).cnf.hdb
│ ├── median_filter.(28).cnf.cdb
│ ├── median_filter.(28).cnf.hdb
│ ├── median_filter.(29).cnf.cdb
│ ├── median_filter.(29).cnf.hdb
│ ├── median_filter.(2).cnf.cdb
│ ├── median_filter.(2).cnf.hdb
│ ├── median_filter.(30).cnf.cdb
│ ├── median_filter.(30).cnf.hdb
│ ├── median_filter.(31).cnf.cdb
│ ├── median_filter.(31).cnf.hdb
│ ├── median_filter.(3).cnf.cdb
│ ├── median_filter.(3).cnf.hdb
│ ├── median_filter.(4).cnf.cdb
│ ├── median_filter.(4).cnf.hdb
│ ├── median_filter.(5).cnf.cdb
│ ├── median_filter.(5).cnf.hdb
│ ├── median_filter.(6).cnf.cdb
│ ├── median_filter.(6).cnf.hdb
│ ├── median_filter.(7).cnf.cdb
│ ├── median_filter.(7).cnf.hdb
│ ├── median_filter.(8).cnf.cdb
│ ├── median_filter.(8).cnf.hdb
│ ├── median_filter.(9).cnf.cdb
│ ├── median_filter.(9).cnf.hdb
│ ├── median_filter.asm.qmsg
│ ├── median_filter.asm.rdb
│ ├── median_filter.cbx.xml
│ ├── median_filter.cmp.bpm
│ ├── median_filter.cmp.cbp
│ ├── median_filter.cmp.cdb
│ ├── median_filter.cmp.ecobp
│ ├── median_filter.cmp.hdb
│ ├── median_filter.cmp.kpt
│ ├── median_filter.cmp.logdb
│ ├── median_filter.cmp_merge.kpt
│ ├── median_filter.cmp.rdb
│ ├── median_filter.cuda_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│ ├── median_filter.cuda_io_sim_cache.45um_tt_1200mv_85c_slow.hsd
│ ├── median_filter.db_info
│ ├── median_filter.eco.cdb
│ ├── median_filter.eda.qmsg
│ ├── median_filter.fit.qmsg
│ ├── median_filter.hier_info
│ ├── median_filter.hif
│ ├── median_filter.lpc.html
│ ├── median_filter.lpc.rdb
│ ├── median_filter.lpc.txt
│ ├── median_filter.map_bb.cdb
│ ├── median_filter.map_bb.hdb
│ ├── median_filter.map_bb.logdb
│ ├── median_filter.map.bpm
│ ├── median_filter.map.cdb
│ ├── median_filter.map.ecobp
│ ├── median_filter.map.hdb
│ ├── median_filter.map.kpt
│ ├── median_filter.map.logdb
│ ├── median_filter.map.qmsg
│ ├── median_filter.pre_map.cdb
│ ├── median_filter.pre_map.hdb
│ ├── median_filter.rtlv.hdb
│ ├── median_filter.rtlv_sg.cdb
│ ├── median_filter.rtlv_sg_swap.cdb
│ ├── median_filter.sgdiff.cdb
│ ├── median_filter.sgdiff.hdb
│ ├── median_filter.sld_design_entry_dsc.sci
│ ├── median_filter.sld_design_entry.sci
│ ├── median_filter.smart_action.txt
│ ├── median_filter.sta_cmp.6_slow_1200mv_85c.tdb
│ ├── median_filter.sta.qmsg
│ ├── median_filter.sta.rdb
│ ├── median_filter.syn_hier_info
│ ├── median_filter.tiscmp.fast_1200mv_0c.ddb
│ ├── median_filter.tiscmp.slow_1200mv_0c.ddb
│ ├── median_filter.tiscmp.slow_1200mv_85c.ddb
│ ├── median_filter.tis_db_list.ddb
│ ├── median_filter.tmw_info
│ ├── prev_cmp_median_filter.asm.qmsg
│ ├── prev_cmp_median_filter.eda.qmsg
│ ├── prev_cmp_median_filter.fit.qmsg
│ ├── prev_cmp_median_filter.map.qmsg
│ ├── prev_cmp_median_filter.qmsg
│ ├── prev_cmp_median_filter.sta.qmsg
│ ├── scfifo_7h11.tdf
│ └── scfifo_pi11.tdf
├── delay_1T.v
├── enable_generate.v
├── incremental_db
│ ├── compiled_partitions
│ │ ├── median_filter.root_partition.cmp.cdb
│ │ ├── median_filter.root_partition.cmp.dfp
│ │ ├── median_filter.root_partition.cmp.hdb
│ │ ├── median_filter.root_partition.cmp.kpt
│ │ ├── median_filter.root_partition.cmp.logdb
│ │ ├── median_filter.root_partition.cmp.rcfdb
│ │ ├── median_filter.root_partition.cmp.re.rcfdb
│ │ ├── median_filter.root_partition.map.cdb
│ │ ├── median_filter.root_partition.map.dpi
│ │ ├── median_filter.root_partition.map.hdb
│ │ └── median_filter.root_partition.map.kpt
│ └── README
├── line_fifo.bsf
├── line_fifo_control.v
├── line_fifo.qip
├── line_fifo.v
├── line_fifo_wave0.jpg
├── line_fifo_waveforms.html
├── median_filter
│ ├── ~$毕业设计.wps
│ ├── compare(1).cnf
│ ├── compare(2).cnf
│ ├── compare2num_h.acf
│ ├── compare2num_h.cnf
│ ├── compare2num_h.fit
│ ├── compare2num_h.hif
│ ├── compare2num_h.jam
│ ├── compare2num_h.jbc
│ ├── compare2num_h.mmf
│ ├── compare2num_h.ndb
│ ├── compare2num_h.pin
│ ├── compare2num_h.pof
│ ├── compare2num_h.rpt
│ ├── compare2num_h.snf
│ ├── compare2num_H.sym
│ ├── compare2num_H.v
│ ├── compare2num_l.acf
│ ├── compare2num_l.cnf
│ ├── compare2num_l.fit
│ ├── compare2num_lh.acf
│ ├── compare2num_lh.cnf
│ ├── compare2num_lh.fit
│ ├── compare2num_lh.hif
│ ├── compare2num_l.hif
│ ├── compare2num_lh.jam
│ ├── compare2num_lh.jbc
│ ├── compare2num_lh.mmf
│ ├── compare2num_lh.ndb
│ ├── compare2num_lh.pin
│ ├── compare2num_lh.pof
│ ├── compare2num_lh.rpt
│ ├── compare2num_lh.scf
│ ├── compare2num_lh.snf
│ ├── compare2num_LH.sym
│ ├── compare2num_LH.v
│ ├── compare2num_l.jam
│ ├── compare2num_l.jbc
│ ├── compare2num_l.mmf
│ ├── compare2num_l.ndb
│ ├── compare2num_l.pin
│ ├── compare2num_l.pof
│ ├── compare2num_l.rpt
│ ├── compare2num_l.snf
│ ├── compare2num_L.sym
│ ├── compare2num_L.v
│ ├── compare(3).cnf
│ ├── compare(4).cnf
│ ├── compare.acf
│ ├── compare_bb.acf
│ ├── compare_bb.hif
│ ├── compare_bb.mmf
│ ├── compare_bb.v
│ ├── compare.cmp
│ ├── compare.cnf
│ ├── compare.fit
│ ├── compare.hif
│ ├── compare.inc
│ ├── compare_inst.acf
│ ├── compare_inst.hif
│ ├── compare_inst.v
│ ├── compare.jam
│ ├── compare.jbc
│ ├── compare.jpg
│ ├── compare.mmf
│ ├── compare.ndb
│ ├── compare.pin
│ ├── compare.pof
│ ├── compare.rpt
│ ├── compare.scf
│ ├── compare.snf
│ ├── compare.sym
│ ├── compare.v
│ ├── data_source(1).cnf
│ ├── data_source(2).cnf
│ ├── data_source(3).cnf
│ ├── data_source(4).cnf
│ ├── data_source(5).cnf
│ ├── data_source(6).cnf
│ ├── data_source(7).cnf
│ ├── data_source(8).cnf
│ ├── data_source.acf
│ ├── data_source.cnf
│ ├── data_source.fit
│ ├── data_source.hif
│ ├── data_source.jam
│ ├── data_source.jbc
│ ├── data_source.mmf
│ ├── data_source.ndb
│ ├── data_source.pin
│ ├── data_source.pof
│ ├── data_source.rpt
│ ├── data_source.snf
│ ├── data_source.sym
│ ├── data_source.v
│ ├── data_source.v.bak
│ ├── data_valuable_delay_1t.acf
│ ├── data_valuable_delay_1t.cnf
│ ├── data_valuable_delay_1t.fit
│ ├── data_valuable_delay_1t.hif
│ ├── data_valuable_delay_1t.jam
│ ├── data_valuable_delay_1t.jbc
│ ├── data_valuable_delay_1t.mmf
│ ├── data_valuable_delay_1t.ndb
│ ├── data_valuable_delay_1t.pin
│ ├── data_valuable_delay_1t.pof
│ ├── data_valuable_delay_1t.rpt
│ ├── data_valuable_delay_1t.snf
│ ├── data_valuable_delay_1T.sym
│ ├── data_valuable_delay_1T.v
│ ├── db
│ │ ├── a_dpfifo_an11.tdf
│ │ ├── a_dpfifo_so11.tdf
│ │ ├── altsyncram_qqd1.tdf
│ │ ├── altsyncram_utd1.tdf
│ │ ├── cmpr_ar8.tdf
│ │ ├── cmpr_br8.tdf
│ │ ├── cntr_297.tdf
│ │ ├── cntr_397.tdf
│ │ ├── cntr_l8b.tdf
│ │ ├── cntr_m8b.tdf
│ │ ├── cntr_n8b.tdf
│ │ ├── logic_util_heursitic.dat
│ │ ├── median_filter.(0).cnf.cdb
│ │ ├── median_filter.(0).cnf.hdb
│ │ ├── median_filter.(10).cnf.cdb
│ │ ├── median_filter.(10).cnf.hdb
│ │ ├── median_filter.(11).cnf.cdb
│ │ ├── median_filter.(11).cnf.hdb
│ │ ├── median_filter.(12).cnf.cdb
│ │ ├── median_filter.(12).cnf.hdb
│ │ ├── median_filter.(13).cnf.cdb
│ │ ├── median_filter.(13).cnf.hdb
│ │ ├── median_filter.(14).cnf.cdb
│ │ ├── median_filter.(14).cnf.hdb
│ │ ├── median_filter.(15).cnf.cdb
│ │ ├── median_filter.(15).cnf.hdb
│ │ ├── median_filter.(16).cnf.cdb
│ │ ├── median_filter.(16).cnf.hdb
│ │ ├── median_filter.(17).cnf.cdb
│ │ ├── median_filter.(17).cnf.hdb
│ │ ├── median_filter.(18).cnf.cdb
│ │ ├── median_filter.(18).cnf.hdb
│ │ ├── median_filter.(19).cnf.cdb
│ │ ├── median_filter.(19).cnf.hdb
│ │ ├── median_filter.(1).cnf.cdb
│ │ ├── median_filter.(1).cnf.hdb
│ │ ├── median_filter.(20).cnf.cdb
│ │ ├── median_filter.(20).cnf.hdb
│ │ ├── median_filter.(21).cnf.cdb
│ │ ├── median_filter.(21).cnf.hdb
│ │ ├── median_filter.(22).cnf.cdb
│ │ ├── median_filter.(22).cnf.hdb
│ │ ├── median_filter.(23).cnf.cdb
│ │ ├── median_filter.(23).cnf.hdb
│ │ ├── median_filter.(25).cnf.cdb
│ │ ├── median_filter.(25).cnf.hdb
│ │ ├── median_filter.(26).cnf.cdb
│ │ ├── median_filter.(26).cnf.hdb
│ │ ├── median_filter.(27).cnf.cdb
│ │ ├── median_filter.(27).cnf.hdb
│ │ ├── median_filter.(28).cnf.cdb
│ │ ├── median_filter.(28).cnf.hdb
│ │ ├── median_filter.(29).cnf.cdb
│ │ ├── median_filter.(29).cnf.hdb
│ │ ├── median_filter.(2).cnf.cdb
│ │ ├── median_filter.(2).cnf.hdb
│ │ ├── median_filter.(30).cnf.cdb
│ │ ├── median_filter.(30).cnf.hdb
│ │ ├── median_filter.(31).cnf.cdb
│ │ ├── median_filter.(31).cnf.hdb
│ │ ├── median_filter.(3).cnf.cdb
│ │ ├── median_filter.(3).cnf.hdb
│ │ ├── median_filter.(4).cnf.cdb
│ │ ├── median_filter.(4).cnf.hdb
│ │ ├── median_filter.(5).cnf.cdb
│ │ ├── median_filter.(5).cnf.hdb
│ │ ├── median_filter.(6).cnf.cdb
│ │ ├── median_filter.(6).cnf.hdb
│ │ ├── median_filter.(7).cnf.cdb
│ │ ├── median_filter.(7).cnf.hdb
│ │ ├── median_filter.(8).cnf.cdb
│ │ ├── median_filter.(8).cnf.hdb
│ │ ├── median_filter.(9).cnf.cdb
│ │ ├── median_filter.(9).cnf.hdb
│ │ ├── median_filter.asm.qmsg
│ │ ├── median_filter.asm.rdb
│ │ ├── median_filter.cbx.xml
│ │ ├── median_filter.cmp.bpm
│ │ ├── median_filter.cmp.cbp
│ │ ├── median_filter.cmp.cdb
│ │ ├── median_filter.cmp.ecobp
│ │ ├── median_filter.cmp.hdb
│ │ ├── median_filter.cmp.kpt
│ │ ├── median_filter.cmp.logdb
│ │ ├── median_filter.cmp_merge.kpt
│ │ ├── median_filter.cmp.rdb
│ │ ├── median_filter.cuda_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│ │ ├── median_filter.cuda_io_sim_cache.45um_tt_1200mv_85c_slow.hsd
│ │ ├── median_filter.db_info
│ │ ├── median_filter.eco.cdb
│ │ ├── median_filter.eda.qmsg
│ │ ├── median_filter.fit.qmsg
│ │ ├── median_filter.hier_info
│ │ ├── median_filter.hif
│ │ ├── median_filter.lpc.html
│ │ ├── median_filter.lpc.rdb
│ │ ├── median_filter.lpc.txt
│ │ ├── median_filter.map_bb.cdb
│ │ ├── median_filter.map_bb.hdb
│ │ ├── median_filter.map_bb.logdb
│ │ ├── median_filter.map.bpm
│ │ ├── median_filter.map.cdb
│ │ ├── median_filter.map.ecobp
│ │ ├── median_filter.map.hdb
│ │ ├── median_filter.map.kpt
│ │ ├── median_filter.map.logdb
│ │ ├── median_filter.map.qmsg
│ │ ├── median_filter.pre_map.cdb
│ │ ├── median_filter.pre_map.hdb
│ │ ├── median_filter.rtlv.hdb
│ │ ├── median_filter.rtlv_sg.cdb
│ │ ├── median_filter.rtlv_sg_swap.cdb
│ │ ├── median_filter.sgdiff.cdb
│ │ ├── median_filter.sgdiff.hdb
│ │ ├── median_filter.sld_design_entry_dsc.sci
│ │ ├── median_filter.sld_design_entry.sci
│ │ ├── median_filter.smart_action.txt
│ │ ├── median_filter.sta_cmp.6_slow_1200mv_85c.tdb
│ │ ├── median_filter.sta.qmsg
│ │ ├── median_filter.sta.rdb
│ │ ├── median_filter.syn_hier_info
│ │ ├── median_filter.tiscmp.fast_1200mv_0c.ddb
│ │ ├── median_filter.tiscmp.slow_1200mv_0c.ddb
│ │ ├── median_filter.tiscmp.slow_1200mv_85c.ddb
│ │ ├── median_filter.tis_db_list.ddb
│ │ ├── median_filter.tmw_info
│ │ ├── prev_cmp_median_filter.asm.qmsg
│ │ ├── prev_cmp_median_filter.eda.qmsg
│ │ ├── prev_cmp_median_filter.fit.qmsg
│ │ ├── prev_cmp_median_filter.map.qmsg
│ │ ├── prev_cmp_median_filter.qmsg
│ │ ├── prev_cmp_median_filter.sta.qmsg
│ │ ├── scfifo_7h11.tdf
│ │ └── scfifo_pi11.tdf
│ ├── delay_1t.acf
│ ├── delay_1t.cnf
│ ├── delay_1t.fit
│ ├── delay_1t.hif
│ ├── delay_1t.jam
│ ├── delay_1t.jbc
│ ├── delay_1t.mmf
│ ├── delay_1t.ndb
│ ├── delay_1t.pin
│ ├── delay_1t.pof
│ ├── delay_1t.rpt
│ ├── delay_1t.snf
│ ├── delay_1T.sym
│ ├── delay_1T.v
│ ├── enable_generate.acf
│ ├── enable_generate.cnf
│ ├── enable_generate.fit
│ ├── enable_generate.hif
│ ├── enable_generate.jam
│ ├── enable_generate.jbc
│ ├── enable_generate.mmf
│ ├── enable_generate.ndb
│ ├── enable_generate.pin
│ ├── enable_generate.pof
│ ├── enable_generate.rpt
│ ├── enable_generate.snf
│ ├── enable_generate.sym
│ ├── enable_generate.v
│ ├── incremental_db
│ │ ├── compiled_partitions
│ │ │ ├── median_filter.root_partition.cmp.cdb
│ │ │ ├── median_filter.root_partition.cmp.dfp
│ │ │ ├── median_filter.root_partition.cmp.hdb
│ │ │ ├── median_filter.root_partition.cmp.kpt
│ │ │ ├── median_filter.root_partition.cmp.logdb
│ │ │ ├── median_filter.root_partition.cmp.rcfdb
│ │ │ ├── median_filter.root_partition.cmp.re.rcfdb
│ │ │ ├── median_filter.root_partition.map.cdb
│ │ │ ├── median_filter.root_partition.map.dpi
│ │ │ ├── median_filter.root_partition.map.hdb
│ │ │ └── median_filter.root_partition.map.kpt
│ │ └── README
│ ├── LH1.BMP
│ ├── LIB.DLS
│ ├── line_fifo_bb.acf
│ ├── line_fifo_bb.hif
│ ├── line_fifo_bb.v
│ ├── line_fifo.bsf
│ ├── line_fifo.cmp
│ ├── line_fifo_control(1).cnf
│ ├── line_fifo_control(2).cnf
│ ├── line_fifo_control(3).cnf
│ ├── line_fifo_control(4).cnf
│ ├── line_fifo_control(5).cnf
│ ├── line_fifo_control(6).cnf
│ ├── line_fifo_control.acf
│ ├── line_fifo_control.cnf
│ ├── line_fifo_control.fit
│ ├── line_fifo_control.hif
│ ├── line_fifo_control.jam
│ ├── line_fifo_control.jbc
│ ├── line_fifo_control.mmf
│ ├── line_fifo_control.ndb
│ ├── line_fifo_control.pin
│ ├── line_fifo_control.pof
│ ├── line_fifo_control.rpt
│ ├── line_fifo_control.snf
│ ├── line_fifo_control.sym
│ ├── line_fifo_control.v
│ ├── line_fifo.inc
│ ├── line_fifo_inst.acf
│ ├── line_fifo_inst.hif
│ ├── line_fifo_inst.v
│ ├── line_fifo.jpg
│ ├── line_fifo.qip
│ ├── line_fifo.sym
│ ├── line_fifo.v
│ ├── line_fifo_wave0.jpg
│ ├── line_fifo_waveforms.html
│ ├── median_filter
│ │ ├── compare(1).cnf
│ │ ├── compare(2).cnf
│ │ ├── compare2num_h.acf
│ │ ├── compare2num_h.cnf
│ │ ├── compare2num_h.fit
│ │ ├── compare2num_h.hif
│ │ ├── compare2num_h.jam
│ │ ├── compare2num_h.jbc
│ │ ├── compare2num_h.mmf
│ │ ├── compare2num_h.ndb
│ │ ├── compare2num_h.pin
│ │ ├── compare2num_h.pof
│ │ ├── compare2num_h.rpt
│ │ ├── compare2num_h.snf
│ │ ├── compare2num_H.sym
│ │ ├── compare2num_H.v
│ │ ├── compare2num_l.acf
│ │ ├── compare2num_l.cnf
│ │ ├── compare2num_l.fit
│ │ ├── compare2num_lh.acf
│ │ ├── compare2num_lh.cnf
│ │ ├── compare2num_lh.fit
│ │ ├── compare2num_lh.hif
│ │ ├── compare2num_l.hif
│ │ ├── compare2num_lh.jam
│ │ ├── compare2num_lh.jbc
│ │ ├── compare2num_lh.mmf
│ │ ├── compare2num_lh.ndb
│ │ ├── compare2num_lh.pin
│ │ ├── compare2num_lh.pof
│ │ ├── compare2num_lh.rpt
│ │ ├── compare2num_lh.scf
│ │ ├── compare2num_lh.snf
│ │ ├── compare2num_LH.sym
│ │ ├── compare2num_LH.v
│ │ ├── compare2num_l.jam
│ │ ├── compare2num_l.jbc
│ │ ├── compare2num_l.mmf
│ │ ├── compare2num_l.ndb
│ │ ├── compare2num_l.pin
│ │ ├── compare2num_l.pof
│ │ ├── compare2num_l.rpt
│ │ ├── compare2num_l.snf
│ │ ├── compare2num_L.sym
│ │ ├── compare2num_L.v
│ │ ├── compare(3).cnf
│ │ ├── compare(4).cnf
│ │ ├── compare.acf
│ │ ├── compare_bb.acf
│ │ ├── compare_bb.hif
│ │ ├── compare_bb.mmf
│ │ ├── compare_bb.v
│ │ ├── compare.cmp
│ │ ├── compare.cnf
│ │ ├── compare.fit
│ │ ├── compare.hif
│ │ ├── compare.inc
│ │ ├── compare_inst.acf
│ │ ├── compare_inst.hif
│ │ ├── compare_inst.v
│ │ ├── compare.jam
│ │ ├── compare.jbc
│ │ ├── compare.jpg
│ │ ├── compare.mmf
│ │ ├── compare.ndb
│ │ ├── compare.pin
│ │ ├── compare.pof
│ │ ├── compare.rpt
│ │ ├── compare.scf
│ │ ├── compare.snf
│ │ ├── compare.sym
│ │ ├── compare.v
│ │ ├── data_source(1).cnf
│ │ ├── data_source(2).cnf
│ │ ├── data_source(3).cnf
│ │ ├── data_source(4).cnf
│ │ ├── data_source(5).cnf
│ │ ├── data_source(6).cnf
│ │ ├── data_source(7).cnf
│ │ ├── data_source(8).cnf
│ │ ├── data_source.acf
│ │ ├── data_source.cnf
│ │ ├── data_source.fit
│ │ ├── data_source.hif
│ │ ├── data_source.jam
│ │ ├── data_source.jbc
│ │ ├── data_source.mmf
│ │ ├── data_source.ndb
│ │ ├── data_source.pin
│ │ ├── data_source.pof
│ │ ├── data_source.rpt
│ │ ├── data_source.snf
│ │ ├── data_source.sym
│ │ ├── data_source.v
│ │ ├── data_valuable_delay_1t.acf
│ │ ├── data_valuable_delay_1t.cnf
│ │ ├── data_valuable_delay_1t.fit
│ │ ├── data_valuable_delay_1t.hif
│ │ ├── data_valuable_delay_1t.jam
│ │ ├── data_valuable_delay_1t.jbc
│ │ ├── data_valuable_delay_1t.mmf
│ │ ├── data_valuable_delay_1t.ndb
│ │ ├── data_valuable_delay_1t.pin
│ │ ├── data_valuable_delay_1t.pof
│ │ ├── data_valuable_delay_1t.rpt
│ │ ├── data_valuable_delay_1t.snf
│ │ ├── data_valuable_delay_1T.sym
│ │ ├── data_valuable_delay_1T.v
│ │ ├── delay_1t.acf
│ │ ├── delay_1t.cnf
│ │ ├── delay_1t.fit
│ │ ├── delay_1t.hif
│ │ ├── delay_1t.jam
│ │ ├── delay_1t.jbc
│ │ ├── delay_1t.mmf
│ │ ├── delay_1t.ndb
│ │ ├── delay_1t.pin
│ │ ├── delay_1t.pof
│ │ ├── delay_1t.rpt
│ │ ├── delay_1t.snf
│ │ ├── delay_1T.sym
│ │ ├── delay_1T.v
│ │ ├── enable_generate.acf
│ │ ├── enable_generate.cnf
│ │ ├── enable_generate.fit
│ │ ├── enable_generate.hif
│ │ ├── enable_generate.jam
│ │ ├── enable_generate.jbc
│ │ ├── enable_generate.mmf
│ │ ├── enable_generate.ndb
│ │ ├── enable_generate.pin
│ │ ├── enable_generate.pof
│ │ ├── enable_generate.rpt
│ │ ├── enable_generate.snf
│ │ ├── enable_generate.sym
│ │ ├── enable_generate.v
│ │ ├── LH1.BMP
│ │ ├── LIB.DLS
│ │ ├── line_fifo_bb.acf
│ │ ├── line_fifo_bb.hif
│ │ ├── line_fifo_bb.v
│ │ ├── line_fifo.cmp
│ │ ├── line_fifo_control(1).cnf
│ │ ├── line_fifo_control(2).cnf
│ │ ├── line_fifo_control(3).cnf
│ │ ├── line_fifo_control(4).cnf
│ │ ├── line_fifo_control(5).cnf
│ │ ├── line_fifo_control(6).cnf
│ │ ├── line_fifo_control.acf
│ │ ├── line_fifo_control.cnf
│ │ ├── line_fifo_control.fit
│ │ ├── line_fifo_control.hif
│ │ ├── line_fifo_control.jam
│ │ ├── line_fifo_control.jbc
│ │ ├── line_fifo_control.mmf
│ │ ├── line_fifo_control.ndb
│ │ ├── line_fifo_control.pin
│ │ ├── line_fifo_control.pof
│ │ ├── line_fifo_control.rpt
│ │ ├── line_fifo_control.snf
│ │ ├── line_fifo_control.sym
│ │ ├── line_fifo_control.v
│ │ ├── line_fifo.inc
│ │ ├── line_fifo_inst.acf
│ │ ├── line_fifo_inst.hif
│ │ ├── line_fifo_inst.v
│ │ ├── line_fifo.jpg
│ │ ├── line_fifo.sym
│ │ ├── line_fifo.v
│ │ ├── median_filter(1).cnf
│ │ ├── median_filter1.jam
│ │ ├── median_filter1.jbc
│ │ ├── median_filter1.pin
│ │ ├── median_filter1.pof
│ │ ├── median_filter(2).cnf
│ │ ├── median_filter(3).cnf
│ │ ├── median_filter(4).cnf
│ │ ├── median_filter(5).cnf
│ │ ├── median_filter.acf
│ │ ├── median_filter.bmp
│ │ ├── median_filter.cnf
│ │ ├── median_filter.fit
│ │ ├── median_filter.hif
│ │ ├── median_filter.jam
│ │ ├── median_filter.jbc
│ │ ├── median_filter.mmf
│ │ ├── median_filter.ndb
│ │ ├── median_filter.pin
│ │ ├── median_filter.pof
│ │ ├── median_filter.rpt
│ │ ├── median_filter.scf
│ │ ├── median_filter.snf
│ │ ├── median_filter.sym
│ │ ├── median_filter.v
│ │ ├── mf_top.acf
│ │ ├── mf_top.gdf
│ │ ├── mf_top.hif
│ │ ├── mode3by3_generate(10).cnf
│ │ ├── mode3by3_generate(11).cnf
│ │ ├── mode3by3_generate(12).cnf
│ │ ├── mode3by3_generate(13).cnf
│ │ ├── mode3by3_generate(14).cnf
│ │ ├── mode3by3_generate(15).cnf
│ │ ├── mode3by3_generate(16).cnf
│ │ ├── mode3by3_generate(17).cnf
│ │ ├── mode3by3_generate(18).cnf
│ │ ├── mode3by3_generate(19).cnf
│ │ ├── mode3by3_generate(1).cnf
│ │ ├── mode3by3_generate1.jam
│ │ ├── mode3by3_generate1.jbc
│ │ ├── mode3by3_generate1.pin
│ │ ├── mode3by3_generate1.pof
│ │ ├── mode3by3_generate(20).cnf
│ │ ├── mode3by3_generate(21).cnf
│ │ ├── mode3by3_generate(22).cnf
│ │ ├── mode3by3_generate(23).cnf
│ │ ├── mode3by3_generate(24).cnf
│ │ ├── mode3by3_generate(25).cnf
│ │ ├── mode3by3_generate(26).cnf
│ │ ├── mode3by3_generate(27).cnf
│ │ ├── mode3by3_generate(2).cnf
│ │ ├── mode3by3_generate2.jam
│ │ ├── mode3by3_generate2.jbc
│ │ ├── mode3by3_generate2.pin
│ │ ├── mode3by3_generate2.pof
│ │ ├── mode3by3_generate(3).cnf
│ │ ├── mode3by3_generate3.jam
│ │ ├── mode3by3_generate3.jbc
│ │ ├── mode3by3_generate3.pin
│ │ ├── mode3by3_generate3.pof
│ │ ├── mode3by3_generate(4).cnf
│ │ ├── mode3by3_generate4.jam
│ │ ├── mode3by3_generate4.jbc
│ │ ├── mode3by3_generate4.pin
│ │ ├── mode3by3_generate4.pof
│ │ ├── mode3by3_generate(5).cnf
│ │ ├── mode3by3_generate5.jam
│ │ ├── mode3by3_generate5.jbc
│ │ ├── mode3by3_generate5.pin
│ │ ├── mode3by3_generate5.pof
│ │ ├── mode3by3_generate(6).cnf
│ │ ├── mode3by3_generate(7).cnf
│ │ ├── mode3by3_generate(8).cnf
│ │ ├── mode3by3_generate(9).cnf
│ │ ├── mode3by3_generate.acf
│ │ ├── mode3by3_generate.cnf
│ │ ├── mode3by3_generate.fit
│ │ ├── mode3by3_generate.hif
│ │ ├── mode3by3_generate.jam
│ │ ├── mode3by3_generate.jbc
│ │ ├── mode3by3_generate.mmf
│ │ ├── mode3by3_generate.ndb
│ │ ├── mode3by3_generate.pin
│ │ ├── mode3by3_generate.pof
│ │ ├── mode3by3_generate.rpt
│ │ ├── mode3by3_generate.scf
│ │ ├── mode3by3_generate.snf
│ │ ├── mode3by3_generate.sym
│ │ ├── mode3by3_generate.v
│ │ ├── my_mux(1).cnf
│ │ ├── my_mux(2).cnf
│ │ ├── my_mux(3).cnf
│ │ ├── my_mux.acf
│ │ ├── my_mux.cnf
│ │ ├── my_mux.fit
│ │ ├── my_mux.hif
│ │ ├── my_mux.inc
│ │ ├── my_mux_inst.tdf
│ │ ├── my_mux.jam
│ │ ├── my_mux.jbc
│ │ ├── my_mux.mmf
│ │ ├── my_mux.ndb
│ │ ├── my_mux.pin
│ │ ├── my_mux.pof
│ │ ├── my_mux.rpt
│ │ ├── my_mux.snf
│ │ ├── my_mux.sym
│ │ ├── my_mux.tdf
│ │ ├── pixel_buffer1.acf
│ │ ├── pixel_buffer1.cnf
│ │ ├── pixel_buffer1.fit
│ │ ├── pixel_buffer1.hif
│ │ ├── pixel_buffer1.jam
│ │ ├── pixel_buffer1.jbc
│ │ ├── pixel_buffer1.mmf
│ │ ├── pixel_buffer1.ndb
│ │ ├── pixel_buffer1.pin
│ │ ├── pixel_buffer1.pof
│ │ ├── pixel_buffer1.rpt
│ │ ├── pixel_buffer1.snf
│ │ ├── pixel_buffer1.sym
│ │ ├── pixel_buffer1.v
│ │ ├── pixel_buffer2.acf
│ │ ├── pixel_buffer2.cnf
│ │ ├── pixel_buffer2.fit
│ │ ├── pixel_buffer2.hif
│ │ ├── pixel_buffer2.jam
│ │ ├── pixel_buffer2.jbc
│ │ ├── pixel_buffer2.mmf
│ │ ├── pixel_buffer2.ndb
│ │ ├── pixel_buffer2.pin
│ │ ├── pixel_buffer2.pof
│ │ ├── pixel_buffer2.rpt
│ │ ├── pixel_buffer2.snf
│ │ ├── pixel_buffer2.sym
│ │ ├── pixel_buffer2.v
│ │ ├── pixel_buffer.acf
│ │ ├── pixel_buffer.cnf
│ │ ├── pixel_buffer.fit
│ │ ├── pixel_buffer.hif
│ │ ├── pixel_buffer.jam
│ │ ├── pixel_buffer.jbc
│ │ ├── pixel_buffer.mmf
│ │ ├── pixel_buffer.ndb
│ │ ├── pixel_buffer.pin
│ │ ├── pixel_buffer.pof
│ │ ├── pixel_buffer.rpt
│ │ ├── pixel_buffer.snf
│ │ ├── pixel_buffer.sym
│ │ ├── pixel_buffer.v
│ │ ├── top.acf
│ │ ├── top.gdf
│ │ ├── top.hif
│ │ ├── transcript
│ │ ├── U0156199.DLS
│ │ ├── U0167057.DLS
│ │ ├── U0902126.DLS
│ │ ├── U2054272.DLS
│ │ ├── U2210044.DLS
│ │ ├── U2310972.DLS
│ │ ├── U2519805.DLS
│ │ ├── U2925689.DLS
│ │ ├── U2979389.DLS
│ │ ├── U3890428.DLS
│ │ ├── U6724368.DLS
│ │ ├── U6956589.DLS
│ │ ├── U7078010.DLS
│ │ ├── U9220862.DLS
│ │ └── U9668525.DLS
│ ├── median_filter(1).cnf
│ ├── median_filter1.jam
│ ├── median_filter1.jbc
│ ├── median_filter1.pin
│ ├── median_filter1.pof
│ ├── median_filter(2).cnf
│ ├── median_filter(3).cnf
│ ├── median_filter(4).cnf
│ ├── median_filter(5).cnf
│ ├── median_filter.acf
│ ├── median_filter.asm.rpt
│ ├── median_filter.bmp
│ ├── median_filter.cnf
│ ├── median_filter.done
│ ├── median_filter.eda.rpt
│ ├── median_filter.fit
│ ├── median_filter.fit.rpt
│ ├── median_filter.fit.smsg
│ ├── median_filter.fit.summary
│ ├── median_filter.flow.rpt
│ ├── median_filter.hif
│ ├── median_filter.jam
│ ├── median_filter.jbc
│ ├── median_filter.map.rpt
│ ├── median_filter.map.summary
│ ├── median_filter.mmf
│ ├── median_filter_nativelink_simulation.rpt
│ ├── median_filter.ndb
│ ├── median_filter.pin
│ ├── median_filter.pof
│ ├── median_filter.qpf
│ ├── median_filter.qsf
│ ├── median_filter.qws
│ ├── median_filter.rpt
│ ├── median_filter.scf
│ ├── median_filter.snf
│ ├── median_filter.sof
│ ├── median_filter.sta.rpt
│ ├── median_filter.sta.summary
│ ├── median_filter.sym
│ ├── median_filter.v
│ ├── mf_top.acf
│ ├── mf_top.gdf
│ ├── mf_top.hif
│ ├── mode3by3_generate(10).cnf
│ ├── mode3by3_generate(11).cnf
│ ├── mode3by3_generate(12).cnf
│ ├── mode3by3_generate(13).cnf
│ ├── mode3by3_generate(14).cnf
│ ├── mode3by3_generate(15).cnf
│ ├── mode3by3_generate(16).cnf
│ ├── mode3by3_generate(17).cnf
│ ├── mode3by3_generate(18).cnf
│ ├── mode3by3_generate(19).cnf
│ ├── mode3by3_generate(1).cnf
│ ├── mode3by3_generate1.jam
│ ├── mode3by3_generate1.jbc
│ ├── mode3by3_generate1.pin
│ ├── mode3by3_generate1.pof
│ ├── mode3by3_generate(20).cnf
│ ├── mode3by3_generate(21).cnf
│ ├── mode3by3_generate(22).cnf
│ ├── mode3by3_generate(23).cnf
│ ├── mode3by3_generate(24).cnf
│ ├── mode3by3_generate(25).cnf
│ ├── mode3by3_generate(26).cnf
│ ├── mode3by3_generate(27).cnf
│ ├── mode3by3_generate(2).cnf
│ ├── mode3by3_generate2.jam
│ ├── mode3by3_generate2.jbc
│ ├── mode3by3_generate2.pin
│ ├── mode3by3_generate2.pof
│ ├── mode3by3_generate(3).cnf
│ ├── mode3by3_generate3.jam
│ ├── mode3by3_generate3.jbc
│ ├── mode3by3_generate3.pin
│ ├── mode3by3_generate3.pof
│ ├── mode3by3_generate(4).cnf
│ ├── mode3by3_generate4.jam
│ ├── mode3by3_generate4.jbc
│ ├── mode3by3_generate4.pin
│ ├── mode3by3_generate4.pof
│ ├── mode3by3_generate(5).cnf
│ ├── mode3by3_generate5.jam
│ ├── mode3by3_generate5.jbc
│ ├── mode3by3_generate5.pin
│ ├── mode3by3_generate5.pof
│ ├── mode3by3_generate(6).cnf
│ ├── mode3by3_generate(7).cnf
│ ├── mode3by3_generate(8).cnf
│ ├── mode3by3_generate(9).cnf
│ ├── mode3by3_generate.acf
│ ├── mode3by3_generate.cnf
│ ├── mode3by3_generate.fit
│ ├── mode3by3_generate.hif
│ ├── mode3by3_generate.jam
│ ├── mode3by3_generate.jbc
│ ├── mode3by3_generate.mmf
│ ├── mode3by3_generate.ndb
│ ├── mode3by3_generate.pin
│ ├── mode3by3_generate.pof
│ ├── mode3by3_generate.rpt
│ ├── mode3by3_generate.scf
│ ├── mode3by3_generate.snf
│ ├── mode3by3_generate.sym
│ ├── mode3by3_generate.v
│ ├── my_mux(1).cnf
│ ├── my_mux(2).cnf
│ ├── my_mux(3).cnf
│ ├── my_mux.acf
│ ├── my_mux.cnf
│ ├── my_mux.fit
│ ├── my_mux.hif
│ ├── my_mux.inc
│ ├── my_mux_inst.tdf
│ ├── my_mux.jam
│ ├── my_mux.jbc
│ ├── my_mux.mmf
│ ├── my_mux.ndb
│ ├── my_mux.pin
│ ├── my_mux.pof
│ ├── my_mux.rpt
│ ├── my_mux.snf
│ ├── my_mux.sym
│ ├── my_mux.tdf
│ ├── my_mux.v
│ ├── pixel_buffer1.acf
│ ├── pixel_buffer1.cnf
│ ├── pixel_buffer1.fit
│ ├── pixel_buffer1.hif
│ ├── pixel_buffer1.jam
│ ├── pixel_buffer1.jbc
│ ├── pixel_buffer1.mmf
│ ├── pixel_buffer1.ndb
│ ├── pixel_buffer1.pin
│ ├── pixel_buffer1.pof
│ ├── pixel_buffer1.rpt
│ ├── pixel_buffer1.snf
│ ├── pixel_buffer1.sym
│ ├── pixel_buffer1.v
│ ├── pixel_buffer2.acf
│ ├── pixel_buffer2.cnf
│ ├── pixel_buffer2.fit
│ ├── pixel_buffer2.hif
│ ├── pixel_buffer2.jam
│ ├── pixel_buffer2.jbc
│ ├── pixel_buffer2.mmf
│ ├── pixel_buffer2.ndb
│ ├── pixel_buffer2.pin
│ ├── pixel_buffer2.pof
│ ├── pixel_buffer2.rpt
│ ├── pixel_buffer2.snf
│ ├── pixel_buffer2.sym
│ ├── pixel_buffer2.v
│ ├── pixel_buffer.acf
│ ├── pixel_buffer.cnf
│ ├── pixel_buffer.fit
│ ├── pixel_buffer.hif
│ ├── pixel_buffer.jam
│ ├── pixel_buffer.jbc
│ ├── pixel_buffer.mmf
│ ├── pixel_buffer.ndb
│ ├── pixel_buffer.pin
│ ├── pixel_buffer.pof
│ ├── pixel_buffer.rpt
│ ├── pixel_buffer.snf
│ ├── pixel_buffer.sym
│ ├── pixel_buffer.v
│ ├── simulation
│ │ └── modelsim
│ │ ├── median_filter_6_1200mv_0c_slow.vo
│ │ ├── median_filter_6_1200mv_0c_v_slow.sdo
│ │ ├── median_filter_6_1200mv_85c_slow.vo
│ │ ├── median_filter_6_1200mv_85c_v_slow.sdo
│ │ ├── median_filter_min_1200mv_0c_fast.vo
│ │ ├── median_filter_min_1200mv_0c_v_fast.sdo
│ │ ├── median_filter_modelsim.xrf
│ │ ├── median_filter_run_msim_rtl_verilog.do
│ │ ├── median_filter_run_msim_rtl_verilog.do.bak
│ │ ├── median_filter_run_msim_rtl_verilog.do.bak1
│ │ ├── median_filter_run_msim_rtl_verilog.do.bak2
│ │ ├── median_filter_run_msim_rtl_verilog.do.bak3
│ │ ├── median_filter_run_msim_rtl_verilog.do.bak4
│ │ ├── median_filter_run_msim_rtl_verilog.do.bak5
│ │ ├── median_filter.sft
│ │ ├── median_filter.vo
│ │ ├── median_filter_v.sdo
│ │ ├── modelsim.ini
│ │ ├── msim_transcript
│ │ ├── rtl_work
│ │ │ ├── compare2num_@h
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── compare2num_@l
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── compare2num_@l@h
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── data_valuable_delay_1@t
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── delay_1@t
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── enable_generate
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── _info
│ │ │ ├── line_fifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── line_fifo_control
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── median_filter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── mode3by3_generate
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @_opt
│ │ │ │ ├── _deps
│ │ │ │ ├── vopt0cj2zq
│ │ │ │ ├── vopt0sbz4r
│ │ │ │ ├── vopt0wg73f
│ │ │ │ ├── vopt13fcav
│ │ │ │ ├── vopt1x4aij
│ │ │ │ ├── vopt27hqqj
│ │ │ │ ├── vopt2v2fwz
│ │ │ │ ├── vopt33fsxk
│ │ │ │ ├── vopt39rw4v
│ │ │ │ ├── vopt3c4zes
│ │ │ │ ├── vopt3kzqqq
│ │ │ │ ├── vopt3rzs5r
│ │ │ │ ├── vopt3v2wfs
│ │ │ │ ├── vopt4c643f
│ │ │ │ ├── vopt4dt6ij
│ │ │ │ ├── vopt4v414f
│ │ │ │ ├── vopt5brcwz
│ │ │ │ ├── vopt5j49av
│ │ │ │ ├── vopt64mjqq
│ │ │ │ ├── vopt6j4nxk
│ │ │ │ ├── vopt6q6jqj
│ │ │ │ ├── vopt6r7nmw
│ │ │ │ ├── vopt7akvkg
│ │ │ │ ├── vopt7brsfs
│ │ │ │ ├── vopt7bty3f
│ │ │ │ ├── vopt7sds4v
│ │ │ │ ├── vopt83t6av
│ │ │ │ ├── vopt8wv03f
│ │ │ │ ├── vopt8xf3ij
│ │ │ │ ├── vopt9vd8wz
│ │ │ │ ├── vopta3tjxk
│ │ │ │ ├── vopta79g6r
│ │ │ │ ├── vopta7wgqj
│ │ │ │ ├── vopta8xjmw
│ │ │ │ ├── voptabimzq
│ │ │ │ ├── voptasymkw
│ │ │ │ ├── voptbchx2f
│ │ │ │ ├── voptbt9rkg
│ │ │ │ ├── voptbvfv3f
│ │ │ │ ├── voptcb35wz
│ │ │ │ ├── voptcd50ij
│ │ │ │ ├── voptcjf2av
│ │ │ │ ├── voptd6xa7r
│ │ │ │ ├── voptdqhdqj
│ │ │ │ ├── voptdqyd6r
│ │ │ │ ├── voptdt1fgs
│ │ │ │ ├── vopte3dm1c
│ │ │ │ ├── vopte9kikw
│ │ │ │ ├── vopterifmw
│ │ │ │ ├── voptev7izq
│ │ │ │ ├── voptfv0qjg
│ │ │ │ ├── voptfw6t2f
│ │ │ │ ├── voptfxtxhj
│ │ │ │ ├── voptg35z9v
│ │ │ │ ├── voptgvr2wz
│ │ │ │ ├── vopth7ka6r
│ │ │ │ ├── vopthaqcgs
│ │ │ │ ├── vopthbxfzq
│ │ │ │ ├── vopthni77r
│ │ │ │ ├── vopthrmc5r
│ │ │ │ ├── vopthsmahs
│ │ │ │ ├── voptibnkjg
│ │ │ │ ├── vopticwq2f
│ │ │ │ ├── voptij2h1c
│ │ │ │ ├── voptis9fkw
│ │ │ │ ├── voptjjtw9v
│ │ │ │ ├── voptjxxs1f
│ │ │ │ ├── voptk9b6hs
│ │ │ │ ├── voptkhq4zk
│ │ │ │ ├── voptkj94rq
│ │ │ │ ├── voptm3re1c
│ │ │ │ ├── voptm8b95r
│ │ │ │ ├── voptm9zckw
│ │ │ │ ├── voptmq966r
│ │ │ │ ├── voptmtc9gs
│ │ │ │ ├── voptmviczq
│ │ │ │ ├── voptndjn1f
│ │ │ │ ├── voptnvbhjg
│ │ │ │ ├── voptnwhj2f
│ │ │ │ ├── voptr1d0zk
│ │ │ │ ├── voptr3z0rq
│ │ │ │ ├── voptr7z36r
│ │ │ │ ├── voptra26gs
│ │ │ │ ├── voptrr065r
│ │ │ │ ├── voptrs03hs
│ │ │ │ ├── voptsb1ejg
│ │ │ │ ├── voptsb88zq
│ │ │ │ ├── voptsjdb1c
│ │ │ │ ├── voptssk8kw
│ │ │ │ ├── voptt24jav
│ │ │ │ ├── vopttc7g2f
│ │ │ │ ├── voptv1yxen
│ │ │ │ ├── voptvjkxqq
│ │ │ │ ├── voptw240yk
│ │ │ │ ├── voptw8n25r
│ │ │ │ ├── voptw9a5kw
│ │ │ │ ├── voptwqk06r
│ │ │ │ ├── voptwtq2gs
│ │ │ │ ├── voptwvx5zq
│ │ │ │ ├── voptx3381c
│ │ │ │ ├── voptxcfdij
│ │ │ │ ├── voptxvnajg
│ │ │ │ ├── voptyisfav
│ │ │ │ ├── voptz3atqq
│ │ │ │ ├── voptz7ax5r
│ │ │ │ ├── voptzadzfs
│ │ │ │ ├── voptzisxxk
│ │ │ │ └── voptznvtqj
│ │ │ ├── pixel_buffer
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── pixel_buffer1
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── pixel_buffer2
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── top@module
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── top@module_vlg_tst
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ ├── sourceData.txt
│ │ ├── topModule.vt
│ │ ├── verilog_libs
│ │ │ ├── altera_mf_ver
│ │ │ │ ├── a_graycounter
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt3pram
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altaccumulate
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_aeq_s4
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_cal
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altclklock
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altddio_bidir
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altddio_in
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altddio_out
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_dfe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altdpram
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_std_synchronizer
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altera_std_synchronizer_bundle
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_eyemon
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altfp_mult
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altlvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altlvds_tx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altmult_accum
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altmult_add
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altparallel_flash_loader
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altpll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altserial_flash_loader
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altshift_taps
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altsource_probe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altsqrt
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altsquare
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altstratixii_oct
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── altsyncram
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── arm_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── arm_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── arm_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cda_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cda_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cda_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiiigl_post_divider
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_async
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_dffpipe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_fefifo
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_low_latency
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_mixed_widths
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dcfifo_sync
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dffp
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dummy_hub
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── flexible_lvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── flexible_lvds_tx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── _info
│ │ │ │ ├── jtag_tap_controller
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lcell
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_cycloneiiigl_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_cycloneiiigl_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_cycloneiiigl_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_cycloneiiigl_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_cycloneiii_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_pll_reg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_stratixiii_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_stratixii_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @m@f_stratix_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── parallel_add
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── pll_iobuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── scfifo
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── signal_gen
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── sld_signaltap
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── sld_virtual_jtag
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixgx_dpa_lvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixiii_lvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixiii_lvds_rx_channel
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixiii_lvds_rx_dpa
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixii_lvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratixii_tx_outclk
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratix_lvds_rx
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stratix_tx_outclk
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stx_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stx_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── stx_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ttn_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ttn_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── ttn_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ └── _vmake
│ │ │ ├── altera_ver
│ │ │ │ ├── alt_bidir_buf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_bidir_diff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_inbuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_inbuf_diff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_iobuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_iobuf_diff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_outbuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_outbuf_diff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_outbuf_tri
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── alt_outbuf_tri_diff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── carry
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── carry_sum
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cascade
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── clklock
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dffe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dffea
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dffeas
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── dlatch
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── exp
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── global
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── _info
│ │ │ │ ├── jkff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── jkffe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── latch
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lut_input
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lut_output
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── opndrn
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── prim_gdff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @p@r@i@m_@g@d@f@f_@h@i@g@h
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @p@r@i@m_@g@d@f@f_@l@o@w
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── prim_gjkff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── prim_gsrff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── prim_gtff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── row_global
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── soft
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── srff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── srffe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── tff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── tffe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @t@r@i
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ └── _vmake
│ │ │ ├── cycloneiii_ver
│ │ │ │ ├── cycloneiii_and1
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_and16
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_apfcontroller
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_b17mux21
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_b5mux21
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_bmux21
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_clkctrl
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_crcblock
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_ddio_oe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_ddio_out
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_dffe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_ena_reg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_ff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_io_ibuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_io_obuf
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_io_pad
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_jtag
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_latch
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_lcell_comb
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_mac_data_reg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_mac_mult
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_mac_mult_internal
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_mac_out
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_mac_sign_reg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_m_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_mux21
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_mux41
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_n_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_nmux21
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_oscillator
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_pll
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_pll_reg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @c@y@c@l@o@n@e@i@i@i_@p@r@i@m_@d@f@f@e
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @c@y@c@l@o@n@e@i@i@i_@p@r@i@m_@d@f@f@e@a@s
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @c@y@c@l@o@n@e@i@i@i_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_pseudo_diff_out
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_ram_block
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_ram_pulse_generator
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_ram_register
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_routing_wire
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_rublock
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_scale_cntr
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_termination
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_termination_ctrl
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── cycloneiii_termination_rupdn
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── _info
│ │ │ │ └── _vmake
│ │ │ ├── lpm_ver
│ │ │ │ ├── _info
│ │ │ │ ├── lpm_abs
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_add_sub
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_and
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_bipad
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_bustri
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_clshift
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_compare
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_constant
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_counter
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_decode
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_divide
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_ff
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_fifo
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_fifo_dc
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_fifo_dc_async
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_fifo_dc_dffpipe
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_fifo_dc_fefifo
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_inpad
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_inv
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_latch
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_mult
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_mux
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_or
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_outpad
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_ram_dp
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_ram_dq
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_ram_io
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_rom
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_shiftreg
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ ├── lpm_xor
│ │ │ │ │ ├── _primary.dat
│ │ │ │ │ ├── _primary.dbs
│ │ │ │ │ └── _primary.vhd
│ │ │ │ └── _vmake
│ │ │ └── sgate_ver
│ │ │ ├── _info
│ │ │ ├── io_buf_opdrn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── io_buf_tri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_addsub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_bus_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_decoder
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_div
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_left_shift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_less_than
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_mod
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_right_shift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_rotate_left
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_rotate_right
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── oper_selector
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── tri_bus
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ └── vsim.wlf
│ ├── top.acf
│ ├── top.gdf
│ ├── top.hif
│ ├── topModule.v
│ ├── topModule.v.bak
│ ├── transcript
│ ├── U0156199.DLS
│ ├── U0167057.DLS
│ ├── U0902126.DLS
│ ├── U2054272.DLS
│ ├── U2210044.DLS
│ ├── U2310972.DLS
│ ├── U2519805.DLS
│ ├── U2925689.DLS
│ ├── U2979389.DLS
│ ├── U3890428.DLS
│ ├── U6724368.DLS
│ ├── U6956589.DLS
│ ├── U7078010.DLS
│ ├── U9220862.DLS
│ └── U9668525.DLS
├── median_filter.asm.rpt
├── median_filter.done
├── median_filter.eda.rpt
├── median_filter.fit.rpt
├── median_filter.fit.smsg
├── median_filter.fit.summary
├── median_filter.flow.rpt
├── median_filter.map.rpt
├── median_filter.map.summary
├── median_filter_nativelink_simulation.rpt
├── median_filter.pin
├── median_filter.qpf
├── median_filter.qsf
├── median_filter.qws
├── median_filter.sof
├── median_filter.sta.rpt
├── median_filter.sta.summary
├── median_filter.v
├── mode3by3_generate.v
├── pixel_buffer1.v
├── pixel_buffer2.v
├── pixel_buffer.v
├── simulation
│ └── modelsim
│ ├── median_filter_6_1200mv_0c_slow.vo
│ ├── median_filter_6_1200mv_0c_v_slow.sdo
│ ├── median_filter_6_1200mv_85c_slow.vo
│ ├── median_filter_6_1200mv_85c_v_slow.sdo
│ ├── median_filter_min_1200mv_0c_fast.vo
│ ├── median_filter_min_1200mv_0c_v_fast.sdo
│ ├── median_filter_modelsim.xrf
│ ├── median_filter_run_msim_rtl_verilog.do
│ ├── median_filter_run_msim_rtl_verilog.do.bak
│ ├── median_filter_run_msim_rtl_verilog.do.bak1
│ ├── median_filter_run_msim_rtl_verilog.do.bak10
│ ├── median_filter_run_msim_rtl_verilog.do.bak11
│ ├── median_filter_run_msim_rtl_verilog.do.bak2
│ ├── median_filter_run_msim_rtl_verilog.do.bak3
│ ├── median_filter_run_msim_rtl_verilog.do.bak4
│ ├── median_filter_run_msim_rtl_verilog.do.bak5
│ ├── median_filter_run_msim_rtl_verilog.do.bak6
│ ├── median_filter_run_msim_rtl_verilog.do.bak7
│ ├── median_filter_run_msim_rtl_verilog.do.bak8
│ ├── median_filter_run_msim_rtl_verilog.do.bak9
│ ├── median_filter.sft
│ ├── median_filter.vo
│ ├── median_filter_v.sdo
│ ├── modelsim.ini
│ ├── msim_transcript
│ ├── rtl_work
│ │ ├── compare2num_@h
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── compare2num_@l
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── compare2num_@l@h
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── data_valuable_delay_1@t
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── delay_1@t
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── enable_generate
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── _info
│ │ ├── line_fifo
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── line_fifo_control
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── median_filter
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── mode3by3_generate
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── @_opt
│ │ │ ├── _deps
│ │ │ ├── vopt06f60n
│ │ │ ├── vopt07k9fz
│ │ │ ├── vopt0t23a4
│ │ │ ├── vopt0v63z2
│ │ │ ├── vopt15cesd
│ │ │ ├── vopt1bzghi
│ │ │ ├── vopt1dbgv3
│ │ │ ├── vopt1ibbgd
│ │ │ ├── vopt1w9ew3
│ │ │ ├── vopt1y7jb7
│ │ │ ├── vopt21hvr5
│ │ │ ├── vopt2f9na7
│ │ │ ├── vopt2qjrc4
│ │ │ ├── vopt3ar0a4
│ │ │ ├── vopt3bw0z2
│ │ │ ├── vopt3szya1
│ │ │ ├── vopt4iw80f
│ │ │ ├── vopt4q95fz
│ │ │ ├── vopt4x83jk
│ │ │ ├── vopt5czbw3
│ │ │ ├── vopt5exgb7
│ │ │ ├── vopt5m1bsd
│ │ │ ├── vopt5vkdhi
│ │ │ ├── vopt5x0dv3
│ │ │ ├── vopt5zyia7
│ │ │ ├── vopt612r87
│ │ │ ├── vopt679mc4
│ │ │ ├── vopt69mta1
│ │ │ ├── vopt78s24c
│ │ │ ├── vopt7aixs2
│ │ │ ├── vopt7gazhg
│ │ │ ├── vopt7vhxy2
│ │ │ ├── vopt85q7sd
│ │ │ ├── vopt8baahi
│ │ │ ├── vopt8dnav3
│ │ │ ├── vopt8in5gd
│ │ │ ├── vopt8zq7v2
│ │ │ ├── vopt9fkfa7
│ │ │ ├── vopt9gtis5
│ │ │ ├── vopt9yidb7
│ │ │ ├── vopta1wkr5
│ │ │ ├── voptaact91
│ │ │ ├── voptab7ty2
│ │ │ ├── voptasaqa1
│ │ │ ├── voptb2c1gd
│ │ │ ├── voptb6jwfz
│ │ │ ├── voptbrez3c
│ │ │ ├── voptcbj71c
│ │ │ ├── voptce89b7
│ │ │ ├── voptcfd4v2
│ │ │ ├── voptd0gfs5
│ │ │ ├── voptdhhhr5
│ │ │ ├── vopte65szm
│ │ │ ├── vopte90ka1
│ │ │ ├── voptezjnig
│ │ │ ├── voptf5s1sg
│ │ │ ├── voptf84w3c
│ │ │ ├── voptfi1yfd
│ │ │ ├── voptfwz1w3
│ │ │ ├── voptgv831c
│ │ │ ├── voptgyx6b7
│ │ │ ├── vopth17er5
│ │ │ ├── vopthg5bs5
│ │ │ ├── vopthsmga1
│ │ │ ├── vopthtggz2
│ │ │ ├── voptif9jig
│ │ │ ├── voptintnzm
│ │ │ ├── voptirsr3c
│ │ │ ├── voptjcmyv3
│ │ │ ├── voptjej3b7
│ │ │ ├── voptjiivze
│ │ │ ├── voptjmeyrg
│ │ │ ├── voptjva0hi
│ │ │ ├── voptk7z8c4
│ │ │ ├── voptkr9bb1
│ │ │ ├── voptm6gizm
│ │ │ ├── voptm9bda1
│ │ │ ├── voptmf3g2f
│ │ │ ├── voptn1bmgd
│ │ │ ├── voptn28rze
│ │ │ ├── voptnm8t8f
│ │ │ ├── voptnwatv3
│ │ │ ├── voptqb0xgi
│ │ │ ├── voptqdcxt3
│ │ │ ├── voptqy8za7
│ │ │ ├── voptr8z7b1
│ │ │ ├── voptrgg5s5
│ │ │ ├── voptrs0aa1
│ │ │ ├── vopts69ffz
│ │ │ ├── voptsh0igd
│ │ │ ├── voptszrd2f
│ │ │ ├── voptt5yq8f
│ │ │ ├── vopttc0qv3
│ │ │ ├── vopttixkze
│ │ │ ├── voptv061s5
│ │ │ ├── voptveywa7
│ │ │ ├── voptvn8zc4
│ │ │ ├── voptw9n7a1
│ │ │ ├── voptwms90n
│ │ │ ├── voptwrk4b1
│ │ │ ├── voptx1negd
│ │ │ ├── voptx2jhze
│ │ │ ├── voptxnycfz
│ │ │ ├── voptyt9khi
│ │ │ ├── voptywmkv3
│ │ │ ├── voptz6ywc4
│ │ │ ├── voptzgvyr5
│ │ │ └── voptzjf1iz
│ │ ├── pixel_buffer
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── pixel_buffer1
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── pixel_buffer2
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── top@module
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── top@module_vlg_tst
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ └── _vmake
│ ├── sourceData.txt
│ ├── topModule.vt
│ ├── transcript
│ ├── verilog_libs
│ │ ├── altera_mf_ver
│ │ │ ├── a_graycounter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt3pram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altaccumulate
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_aeq_s4
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_cal
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altclklock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altddio_bidir
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altddio_in
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altddio_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_dfe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altdpram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_std_synchronizer
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altera_std_synchronizer_bundle
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_eyemon
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altfp_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altlvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altlvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altmult_accum
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altmult_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altparallel_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altpll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altserial_flash_loader
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altshift_taps
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsource_probe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsqrt
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsquare
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altstratixii_oct
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── altsyncram
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── arm_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── arm_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── arm_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cda_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cda_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cda_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiiigl_post_divider
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_async
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_low_latency
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_mixed_widths
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dcfifo_sync
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dffp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dummy_hub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── flexible_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── flexible_lvds_tx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── _info
│ │ │ ├── jtag_tap_controller
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lcell
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiiigl_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_cycloneiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_stratixiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_stratixii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @m@f_stratix_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── parallel_add
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── pll_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── scfifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── signal_gen
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── sld_signaltap
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── sld_virtual_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixgx_dpa_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixiii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixiii_lvds_rx_channel
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixiii_lvds_rx_dpa
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixii_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratixii_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratix_lvds_rx
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stratix_tx_outclk
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stx_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stx_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── stx_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ttn_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ttn_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── ttn_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ ├── altera_ver
│ │ │ ├── alt_bidir_buf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_bidir_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_inbuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_inbuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_iobuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_iobuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_outbuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_outbuf_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_outbuf_tri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── alt_outbuf_tri_diff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── carry
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── carry_sum
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cascade
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── clklock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dffea
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dffeas
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── dlatch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── exp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── global
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── _info
│ │ │ ├── jkff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── jkffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lut_input
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lut_output
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── opndrn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── prim_gdff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @p@r@i@m_@g@d@f@f_@h@i@g@h
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @p@r@i@m_@g@d@f@f_@l@o@w
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── prim_gjkff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── prim_gsrff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── prim_gtff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── row_global
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── soft
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── srff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── srffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── tff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── tffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @t@r@i
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ ├── cycloneiii_ver
│ │ │ ├── cycloneiii_and1
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_and16
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_apfcontroller
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_b17mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_b5mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_bmux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_clkctrl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_crcblock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_ddio_oe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_ddio_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_dffe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_ena_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_ff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_io_ibuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_io_obuf
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_io_pad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_jtag
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_lcell_comb
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_mac_data_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_mac_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_mac_mult_internal
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_mac_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_mac_sign_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_m_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_mux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_mux41
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_n_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_nmux21
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_oscillator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_pll
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_pll_reg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @c@y@c@l@o@n@e@i@i@i_@p@r@i@m_@d@f@f@e
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @c@y@c@l@o@n@e@i@i@i_@p@r@i@m_@d@f@f@e@a@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @c@y@c@l@o@n@e@i@i@i_@p@r@i@m_@d@f@f@e@a@s_@h@i@g@h
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_pseudo_diff_out
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_ram_block
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_ram_pulse_generator
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_ram_register
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_routing_wire
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_rublock
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_scale_cntr
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_termination
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_termination_ctrl
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── cycloneiii_termination_rupdn
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── _info
│ │ │ └── _vmake
│ │ ├── lpm_ver
│ │ │ ├── _info
│ │ │ ├── lpm_abs
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_add_sub
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_and
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_bipad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_bustri
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_clshift
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_compare
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_constant
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_counter
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_decode
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_divide
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ff
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc_async
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc_dffpipe
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_fifo_dc_fefifo
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_inpad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_inv
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_latch
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── @l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_mult
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_mux
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_or
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_outpad
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ram_dp
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ram_dq
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_ram_io
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_rom
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_shiftreg
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ ├── lpm_xor
│ │ │ │ ├── _primary.dat
│ │ │ │ ├── _primary.dbs
│ │ │ │ └── _primary.vhd
│ │ │ └── _vmake
│ │ └── sgate_ver
│ │ ├── _info
│ │ ├── io_buf_opdrn
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── io_buf_tri
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── mux21
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_add
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_addsub
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_bus_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_decoder
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_div
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_latch
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_left_shift
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_less_than
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_mod
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_mult
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_mux
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_right_shift
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_rotate_left
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_rotate_right
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── oper_selector
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ ├── tri_bus
│ │ │ ├── _primary.dat
│ │ │ ├── _primary.dbs
│ │ │ └── _primary.vhd
│ │ └── _vmake
│ └── vsim.wlf
├── topModule.v
├── topModule.v.bak
└── transcript
493 directories, 2718 files
标签:
小贴士
感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。
- 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
- 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
- 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
- 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。
关于好例子网
本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明
网友评论
我要评论