在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → 基于AD3PA1030和FT232HQ(USB2.0)的FPGA双通道采集系统

基于AD3PA1030和FT232HQ(USB2.0)的FPGA双通道采集系统

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:3.87M
  • 下载次数:4
  • 浏览次数:28
  • 发布时间:2023-03-29
  • 实例类别:一般编程问题
  • 发 布 人:只因你太美a
  • 文件格式:.zip
  • 所需积分:4
 相关标签: FPGA verilog usb2.0 ft232hq

实例介绍

【实例简介】基于AD3PA1030和FT232HQ(USB2.0)的FPGA双通道采集系统,仿真和实物测试均验证通过。

【实例截图】

from clipboardfrom clipboard

【核心代码】

.
├── double_AD_USB2.0_continuos
│   ├── quartus_prj
│   │   ├── PLLJ_PLLSPE_INFO.txt
│   │   ├── db
│   │   │   ├── a_gray2bin_pgb.tdf
│   │   │   ├── a_gray2bin_qgb.tdf
│   │   │   ├── a_gray2bin_sgb.tdf
│   │   │   ├── a_graycounter_i5c.tdf
│   │   │   ├── a_graycounter_j5c.tdf
│   │   │   ├── a_graycounter_k5c.tdf
│   │   │   ├── a_graycounter_l5c.tdf
│   │   │   ├── a_graycounter_mn6.tdf
│   │   │   ├── a_graycounter_nn6.tdf
│   │   │   ├── a_graycounter_on6.tdf
│   │   │   ├── a_graycounter_pn6.tdf
│   │   │   ├── alt_synch_pipe_06d.tdf
│   │   │   ├── alt_synch_pipe_16d.tdf
│   │   │   ├── alt_synch_pipe_26d.tdf
│   │   │   ├── alt_synch_pipe_36d.tdf
│   │   │   ├── alt_synch_pipe_46d.tdf
│   │   │   ├── alt_synch_pipe_56d.tdf
│   │   │   ├── alt_synch_pipe_66d.tdf
│   │   │   ├── alt_synch_pipe_76d.tdf
│   │   │   ├── alt_synch_pipe_86d.tdf
│   │   │   ├── alt_synch_pipe_96d.tdf
│   │   │   ├── alt_synch_pipe_a6d.tdf
│   │   │   ├── alt_synch_pipe_b6d.tdf
│   │   │   ├── alt_synch_pipe_u5d.tdf
│   │   │   ├── alt_synch_pipe_v5d.tdf
│   │   │   ├── altsyncram_3sg1.tdf
│   │   │   ├── altsyncram_iv01.tdf
│   │   │   ├── altsyncram_kv01.tdf
│   │   │   ├── altsyncram_lng1.tdf
│   │   │   ├── altsyncram_mv01.tdf
│   │   │   ├── altsyncram_ot14.tdf
│   │   │   ├── altsyncram_ov01.tdf
│   │   │   ├── cmpr_a66.tdf
│   │   │   ├── cmpr_b66.tdf
│   │   │   ├── cmpr_c66.tdf
│   │   │   ├── cmpr_d66.tdf
│   │   │   ├── cmpr_ngc.tdf
│   │   │   ├── cmpr_rgc.tdf
│   │   │   ├── cntr_23j.tdf
│   │   │   ├── cntr_89j.tdf
│   │   │   ├── cntr_cgi.tdf
│   │   │   ├── dcfifo_5ok1.tdf
│   │   │   ├── dcfifo_72h1.tdf
│   │   │   ├── dcfifo_82h1.tdf
│   │   │   ├── dcfifo_cok1.tdf
│   │   │   ├── dcfifo_kmk1.tdf
│   │   │   ├── dcfifo_m0h1.tdf
│   │   │   ├── dcfifo_mki1.tdf
│   │   │   ├── decode_dvf.tdf
│   │   │   ├── dffpipe_0v8.tdf
│   │   │   ├── dffpipe_1v8.tdf
│   │   │   ├── dffpipe_2v8.tdf
│   │   │   ├── dffpipe_3v8.tdf
│   │   │   ├── dffpipe_4v8.tdf
│   │   │   ├── dffpipe_5v8.tdf
│   │   │   ├── dffpipe_6v8.tdf
│   │   │   ├── dffpipe_7v8.tdf
│   │   │   ├── dffpipe_8v8.tdf
│   │   │   ├── dffpipe_9v8.tdf
│   │   │   ├── dffpipe_av8.tdf
│   │   │   ├── dffpipe_bv8.tdf
│   │   │   ├── dffpipe_cv8.tdf
│   │   │   ├── dffpipe_pu8.tdf
│   │   │   ├── dffpipe_su8.tdf
│   │   │   ├── dffpipe_tu8.tdf
│   │   │   ├── dffpipe_uu8.tdf
│   │   │   ├── dffpipe_vu8.tdf
│   │   │   ├── hs_dual_ad.(0).cnf.cdb
│   │   │   ├── hs_dual_ad.(0).cnf.hdb
│   │   │   ├── hs_dual_ad.(1).cnf.cdb
│   │   │   ├── hs_dual_ad.(1).cnf.hdb
│   │   │   ├── hs_dual_ad.(10).cnf.cdb
│   │   │   ├── hs_dual_ad.(10).cnf.hdb
│   │   │   ├── hs_dual_ad.(11).cnf.cdb
│   │   │   ├── hs_dual_ad.(11).cnf.hdb
│   │   │   ├── hs_dual_ad.(12).cnf.cdb
│   │   │   ├── hs_dual_ad.(12).cnf.hdb
│   │   │   ├── hs_dual_ad.(13).cnf.cdb
│   │   │   ├── hs_dual_ad.(13).cnf.hdb
│   │   │   ├── hs_dual_ad.(14).cnf.cdb
│   │   │   ├── hs_dual_ad.(14).cnf.hdb
│   │   │   ├── hs_dual_ad.(15).cnf.cdb
│   │   │   ├── hs_dual_ad.(15).cnf.hdb
│   │   │   ├── hs_dual_ad.(16).cnf.cdb
│   │   │   ├── hs_dual_ad.(16).cnf.hdb
│   │   │   ├── hs_dual_ad.(17).cnf.cdb
│   │   │   ├── hs_dual_ad.(17).cnf.hdb
│   │   │   ├── hs_dual_ad.(18).cnf.cdb
│   │   │   ├── hs_dual_ad.(18).cnf.hdb
│   │   │   ├── hs_dual_ad.(19).cnf.cdb
│   │   │   ├── hs_dual_ad.(19).cnf.hdb
│   │   │   ├── hs_dual_ad.(2).cnf.cdb
│   │   │   ├── hs_dual_ad.(2).cnf.hdb
│   │   │   ├── hs_dual_ad.(20).cnf.cdb
│   │   │   ├── hs_dual_ad.(20).cnf.hdb
│   │   │   ├── hs_dual_ad.(3).cnf.cdb
│   │   │   ├── hs_dual_ad.(3).cnf.hdb
│   │   │   ├── hs_dual_ad.(4).cnf.cdb
│   │   │   ├── hs_dual_ad.(4).cnf.hdb
│   │   │   ├── hs_dual_ad.(5).cnf.cdb
│   │   │   ├── hs_dual_ad.(5).cnf.hdb
│   │   │   ├── hs_dual_ad.(6).cnf.cdb
│   │   │   ├── hs_dual_ad.(6).cnf.hdb
│   │   │   ├── hs_dual_ad.(7).cnf.cdb
│   │   │   ├── hs_dual_ad.(7).cnf.hdb
│   │   │   ├── hs_dual_ad.(8).cnf.cdb
│   │   │   ├── hs_dual_ad.(8).cnf.hdb
│   │   │   ├── hs_dual_ad.(9).cnf.cdb
│   │   │   ├── hs_dual_ad.(9).cnf.hdb
│   │   │   ├── hs_dual_ad.asm.qmsg
│   │   │   ├── hs_dual_ad.asm.rdb
│   │   │   ├── hs_dual_ad.asm_labs.ddb
│   │   │   ├── hs_dual_ad.cbx.xml
│   │   │   ├── hs_dual_ad.cmp.bpm
│   │   │   ├── hs_dual_ad.cmp.cdb
│   │   │   ├── hs_dual_ad.cmp.hdb
│   │   │   ├── hs_dual_ad.cmp.idb
│   │   │   ├── hs_dual_ad.cmp.logdb
│   │   │   ├── hs_dual_ad.cmp.rdb
│   │   │   ├── hs_dual_ad.cmp_merge.kpt
│   │   │   ├── hs_dual_ad.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│   │   │   ├── hs_dual_ad.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│   │   │   ├── hs_dual_ad.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│   │   │   ├── hs_dual_ad.db_info
│   │   │   ├── hs_dual_ad.eda.qmsg
│   │   │   ├── hs_dual_ad.fit.qmsg
│   │   │   ├── hs_dual_ad.hier_info
│   │   │   ├── hs_dual_ad.hif
│   │   │   ├── hs_dual_ad.ipinfo
│   │   │   ├── hs_dual_ad.lpc.html
│   │   │   ├── hs_dual_ad.lpc.rdb
│   │   │   ├── hs_dual_ad.lpc.txt
│   │   │   ├── hs_dual_ad.map.ammdb
│   │   │   ├── hs_dual_ad.map.bpm
│   │   │   ├── hs_dual_ad.map.cdb
│   │   │   ├── hs_dual_ad.map.hdb
│   │   │   ├── hs_dual_ad.map.kpt
│   │   │   ├── hs_dual_ad.map.logdb
│   │   │   ├── hs_dual_ad.map.qmsg
│   │   │   ├── hs_dual_ad.map.rdb
│   │   │   ├── hs_dual_ad.map_bb.cdb
│   │   │   ├── hs_dual_ad.map_bb.hdb
│   │   │   ├── hs_dual_ad.map_bb.logdb
│   │   │   ├── hs_dual_ad.pre_map.hdb
│   │   │   ├── hs_dual_ad.pti_db_list.ddb
│   │   │   ├── hs_dual_ad.root_partition.map.reg_db.cdb
│   │   │   ├── hs_dual_ad.routing.rdb
│   │   │   ├── hs_dual_ad.rtlv.hdb
│   │   │   ├── hs_dual_ad.rtlv_sg.cdb
│   │   │   ├── hs_dual_ad.rtlv_sg_swap.cdb
│   │   │   ├── hs_dual_ad.sgdiff.cdb
│   │   │   ├── hs_dual_ad.sgdiff.hdb
│   │   │   ├── hs_dual_ad.sld_design_entry_dsc.sci
│   │   │   ├── hs_dual_ad.smart_action.txt
│   │   │   ├── hs_dual_ad.smp_dump.txt
│   │   │   ├── hs_dual_ad.sta.qmsg
│   │   │   ├── hs_dual_ad.sta.rdb
│   │   │   ├── hs_dual_ad.sta_cmp.8_slow_1200mv_85c.tdb
│   │   │   ├── hs_dual_ad.tis_db_list.ddb
│   │   │   ├── hs_dual_ad.tiscmp.fast_1200mv_0c.ddb
│   │   │   ├── hs_dual_ad.tiscmp.fastest_slow_1200mv_0c.ddb
│   │   │   ├── hs_dual_ad.tiscmp.fastest_slow_1200mv_85c.ddb
│   │   │   ├── hs_dual_ad.tiscmp.slow_1200mv_0c.ddb
│   │   │   ├── hs_dual_ad.tiscmp.slow_1200mv_85c.ddb
│   │   │   ├── hs_dual_ad.vpr.ammdb
│   │   │   ├── ip
│   │   │   │   └── slde0de9dfb
│   │   │   │       ├── alt_sld_fab.qip
│   │   │   │       ├── alt_sld_fab.sopcinfo
│   │   │   │       ├── alt_sld_fab.v
│   │   │   │       ├── alt_sld_fab__report.html
│   │   │   │       ├── alt_sld_fab__report.xml
│   │   │   │       ├── alt_sld_fab__talkback.xml
│   │   │   │       └── alt_sld_fab_wrapper_hw.tcl
│   │   │   ├── logic_util_heursitic.dat
│   │   │   ├── mux_psc.tdf
│   │   │   ├── pll_altpll.v
│   │   │   ├── pll_altpll1.v
│   │   │   ├── prev_cmp_hs_dual_ad.qmsg
│   │   │   └── stp1_auto_stripped.stp
│   │   ├── fifo.qip
│   │   ├── greybox_tmp
│   │   │   └── cbx_args.txt
│   │   ├── hs_dual_ad.qpf
│   │   ├── hs_dual_ad.qsf
│   │   ├── hs_dual_ad.qws
│   │   ├── hs_dual_ad_nativelink_simulation.rpt
│   │   ├── incremental_db
│   │   │   ├── README
│   │   │   └── compiled_partitions
│   │   │       ├── hs_dual_ad.db_info
│   │   │       ├── hs_dual_ad.root_partition.cmp.ammdb
│   │   │       ├── hs_dual_ad.root_partition.cmp.cdb
│   │   │       ├── hs_dual_ad.root_partition.cmp.dfp
│   │   │       ├── hs_dual_ad.root_partition.cmp.hdb
│   │   │       ├── hs_dual_ad.root_partition.cmp.logdb
│   │   │       ├── hs_dual_ad.root_partition.cmp.rcfdb
│   │   │       ├── hs_dual_ad.root_partition.map.cdb
│   │   │       ├── hs_dual_ad.root_partition.map.dpi
│   │   │       ├── hs_dual_ad.root_partition.map.hbdb.cdb
│   │   │       ├── hs_dual_ad.root_partition.map.hbdb.hb_info
│   │   │       ├── hs_dual_ad.root_partition.map.hbdb.hdb
│   │   │       ├── hs_dual_ad.root_partition.map.hbdb.sig
│   │   │       ├── hs_dual_ad.root_partition.map.hdb
│   │   │       └── hs_dual_ad.root_partition.map.kpt
│   │   ├── ip_core
│   │   │   ├── fifo
│   │   │   │   ├── fifo.qip
│   │   │   │   ├── fifo.v
│   │   │   │   └── fifo_inst.v
│   │   │   └── pll
│   │   │       ├── pll.ppf
│   │   │       ├── pll.qip
│   │   │       ├── pll.v
│   │   │       └── pll_inst.v
│   │   ├── output_files
│   │   │   ├── hs_dual_ad.asm.rpt
│   │   │   ├── hs_dual_ad.done
│   │   │   ├── hs_dual_ad.eda.rpt
│   │   │   ├── hs_dual_ad.fit.rpt
│   │   │   ├── hs_dual_ad.fit.smsg
│   │   │   ├── hs_dual_ad.fit.summary
│   │   │   ├── hs_dual_ad.flow.rpt
│   │   │   ├── hs_dual_ad.jdi
│   │   │   ├── hs_dual_ad.map.rpt
│   │   │   ├── hs_dual_ad.map.summary
│   │   │   ├── hs_dual_ad.pin
│   │   │   ├── hs_dual_ad.sld
│   │   │   ├── hs_dual_ad.sof
│   │   │   ├── hs_dual_ad.sta.rpt
│   │   │   └── hs_dual_ad.sta.summary
│   │   ├── pll.qip
│   │   └── simulation
│   │       └── modelsim
│   │           ├── hs_dual_ad_run_msim_rtl_verilog.do
│   │           ├── hs_dual_ad_run_msim_rtl_verilog.do.bak
│   │           ├── modelsim.ini
│   │           ├── msim_transcript
│   │           ├── rtl_work
│   │           │   ├── _info
│   │           │   ├── _temp
│   │           │   ├── _vmake
│   │           │   ├── fifo
│   │           │   │   ├── _primary.dat
│   │           │   │   ├── _primary.dbs
│   │           │   │   ├── _primary.vhd
│   │           │   │   ├── verilog.prw
│   │           │   │   └── verilog.psm
│   │           │   ├── hs_dual_ad
│   │           │   │   ├── _primary.dat
│   │           │   │   ├── _primary.dbs
│   │           │   │   ├── _primary.vhd
│   │           │   │   ├── verilog.prw
│   │           │   │   └── verilog.psm
│   │           │   ├── key_filter
│   │           │   │   ├── _primary.dat
│   │           │   │   ├── _primary.dbs
│   │           │   │   ├── _primary.vhd
│   │           │   │   ├── verilog.prw
│   │           │   │   └── verilog.psm
│   │           │   ├── pll
│   │           │   │   ├── _primary.dat
│   │           │   │   ├── _primary.dbs
│   │           │   │   ├── _primary.vhd
│   │           │   │   ├── verilog.prw
│   │           │   │   └── verilog.psm
│   │           │   ├── pll_altpll1
│   │           │   │   ├── _primary.dat
│   │           │   │   ├── _primary.dbs
│   │           │   │   ├── _primary.vhd
│   │           │   │   ├── verilog.prw
│   │           │   │   └── verilog.psm
│   │           │   ├── tb_usb_loopback_top
│   │           │   │   ├── _primary.dat
│   │           │   │   ├── _primary.dbs
│   │           │   │   ├── _primary.vhd
│   │           │   │   ├── verilog.prw
│   │           │   │   └── verilog.psm
│   │           │   ├── usb_loopback_top
│   │           │   │   ├── _primary.dat
│   │           │   │   ├── _primary.dbs
│   │           │   │   ├── _primary.vhd
│   │           │   │   ├── verilog.prw
│   │           │   │   └── verilog.psm
│   │           │   └── usb_rw
│   │           │       ├── _primary.dat
│   │           │       ├── _primary.dbs
│   │           │       ├── _primary.vhd
│   │           │       ├── verilog.prw
│   │           │       └── verilog.psm
│   │           └── vsim.wlf
│   ├── rtl
│   │   ├── greybox_tmp
│   │   │   └── cbx_args.txt
│   │   ├── hs_dual_ad.v
│   │   ├── key_filter.v
│   │   ├── usb_loopback_top.v
│   │   ├── usb_loopback_top.v.bak
│   │   └── usb_rw.v
│   └── sim
│       ├── greybox_tmp
│       │   └── cbx_args.txt
│       └── tb_usb_loopback_top.v
└── 基于AD3PA1030和FT232HQ(USB2.0)的FPGA双通道采集系统_double_AD_USB2.0_FPGA.zip

28 directories, 280 files



网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警