在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例Clojure → 清华FPGA口袋实验板配套程序

清华FPGA口袋实验板配套程序

Clojure

下载此实例
  • 开发语言:Others
  • 实例大小:11.76M
  • 下载次数:3
  • 浏览次数:51
  • 发布时间:2022-06-15
  • 实例类别:Clojure
  • 发 布 人:linbizhong
  • 文件格式:.zip
  • 所需积分:2

实例介绍

【实例简介】清华FPGA口袋实验板配套程序

HAEDA01 FPGA模块是一款高性价比,简单易用的EDA实验开发模块,主芯片采用Altera 

Cyclone IV FPGA芯片EP4CE6E22C8N,模块上集成了板载USB-Blaster下载器,只需一
根USB线即可完成程序下载及供电

【实例截图】

from clipboard

【核心代码】

.
├── HA-EDA01 FPGA 模块实验说明(Verilog)_v1.0.pdf
├── Lab01 Blink
│   ├── db
│   │   ├── altsyncram_o424.tdf
│   │   ├── cmpr_ngc.tdf
│   │   ├── cmpr_qgc.tdf
│   │   ├── cntr_23j.tdf
│   │   ├── cntr_9gi.tdf
│   │   ├── cntr_egi.tdf
│   │   ├── cntr_i6j.tdf
│   │   ├── decode_dvf.tdf
│   │   ├── ip
│   │   │   └── sld474980b3
│   │   │       ├── alt_sld_fab.qip
│   │   │       ├── alt_sld_fab.sopcinfo
│   │   │       ├── alt_sld_fab.v
│   │   │       ├── alt_sld_fab__report.html
│   │   │       ├── alt_sld_fab__report.xml
│   │   │       ├── alt_sld_fab_wrapper_hw.tcl
│   │   │       └── submodules
│   │   │           ├── alt_sld_fab_alt_sld_fab.v
│   │   │           ├── alt_sld_fab_alt_sld_fab_ident.sv
│   │   │           ├── alt_sld_fab_alt_sld_fab_presplit.sv
│   │   │           ├── alt_sld_fab_alt_sld_fab_sldfabric.vhd
│   │   │           └── alt_sld_fab_alt_sld_fab_splitter.sv
│   │   ├── logic_util_heursitic.dat
│   │   ├── mux_ssc.tdf
│   │   ├── prev_cmp_run_led.qmsg
│   │   ├── run_led.(0).cnf.cdb
│   │   ├── run_led.(0).cnf.hdb
│   │   ├── run_led.(1).cnf.cdb
│   │   ├── run_led.(1).cnf.hdb
│   │   ├── run_led.(10).cnf.cdb
│   │   ├── run_led.(10).cnf.hdb
│   │   ├── run_led.(11).cnf.cdb
│   │   ├── run_led.(11).cnf.hdb
│   │   ├── run_led.(12).cnf.cdb
│   │   ├── run_led.(12).cnf.hdb
│   │   ├── run_led.(13).cnf.cdb
│   │   ├── run_led.(13).cnf.hdb
│   │   ├── run_led.(14).cnf.cdb
│   │   ├── run_led.(14).cnf.hdb
│   │   ├── run_led.(15).cnf.cdb
│   │   ├── run_led.(15).cnf.hdb
│   │   ├── run_led.(16).cnf.cdb
│   │   ├── run_led.(16).cnf.hdb
│   │   ├── run_led.(17).cnf.cdb
│   │   ├── run_led.(17).cnf.hdb
│   │   ├── run_led.(18).cnf.cdb
│   │   ├── run_led.(18).cnf.hdb
│   │   ├── run_led.(19).cnf.cdb
│   │   ├── run_led.(19).cnf.hdb
│   │   ├── run_led.(2).cnf.cdb
│   │   ├── run_led.(2).cnf.hdb
│   │   ├── run_led.(20).cnf.cdb
│   │   ├── run_led.(20).cnf.hdb
│   │   ├── run_led.(21).cnf.cdb
│   │   ├── run_led.(21).cnf.hdb
│   │   ├── run_led.(22).cnf.cdb
│   │   ├── run_led.(22).cnf.hdb
│   │   ├── run_led.(23).cnf.cdb
│   │   ├── run_led.(23).cnf.hdb
│   │   ├── run_led.(24).cnf.cdb
│   │   ├── run_led.(24).cnf.hdb
│   │   ├── run_led.(25).cnf.cdb
│   │   ├── run_led.(25).cnf.hdb
│   │   ├── run_led.(26).cnf.cdb
│   │   ├── run_led.(26).cnf.hdb
│   │   ├── run_led.(27).cnf.cdb
│   │   ├── run_led.(27).cnf.hdb
│   │   ├── run_led.(28).cnf.cdb
│   │   ├── run_led.(28).cnf.hdb
│   │   ├── run_led.(29).cnf.cdb
│   │   ├── run_led.(29).cnf.hdb
│   │   ├── run_led.(3).cnf.cdb
│   │   ├── run_led.(3).cnf.hdb
│   │   ├── run_led.(30).cnf.cdb
│   │   ├── run_led.(30).cnf.hdb
│   │   ├── run_led.(31).cnf.cdb
│   │   ├── run_led.(31).cnf.hdb
│   │   ├── run_led.(32).cnf.cdb
│   │   ├── run_led.(32).cnf.hdb
│   │   ├── run_led.(33).cnf.cdb
│   │   ├── run_led.(33).cnf.hdb
│   │   ├── run_led.(34).cnf.cdb
│   │   ├── run_led.(34).cnf.hdb
│   │   ├── run_led.(35).cnf.cdb
│   │   ├── run_led.(35).cnf.hdb
│   │   ├── run_led.(36).cnf.cdb
│   │   ├── run_led.(36).cnf.hdb
│   │   ├── run_led.(37).cnf.cdb
│   │   ├── run_led.(37).cnf.hdb
│   │   ├── run_led.(38).cnf.cdb
│   │   ├── run_led.(38).cnf.hdb
│   │   ├── run_led.(39).cnf.cdb
│   │   ├── run_led.(39).cnf.hdb
│   │   ├── run_led.(4).cnf.cdb
│   │   ├── run_led.(4).cnf.hdb
│   │   ├── run_led.(40).cnf.cdb
│   │   ├── run_led.(40).cnf.hdb
│   │   ├── run_led.(41).cnf.cdb
│   │   ├── run_led.(41).cnf.hdb
│   │   ├── run_led.(42).cnf.cdb
│   │   ├── run_led.(42).cnf.hdb
│   │   ├── run_led.(43).cnf.cdb
│   │   ├── run_led.(43).cnf.hdb
│   │   ├── run_led.(44).cnf.cdb
│   │   ├── run_led.(44).cnf.hdb
│   │   ├── run_led.(45).cnf.cdb
│   │   ├── run_led.(45).cnf.hdb
│   │   ├── run_led.(46).cnf.cdb
│   │   ├── run_led.(46).cnf.hdb
│   │   ├── run_led.(47).cnf.cdb
│   │   ├── run_led.(47).cnf.hdb
│   │   ├── run_led.(48).cnf.cdb
│   │   ├── run_led.(48).cnf.hdb
│   │   ├── run_led.(49).cnf.cdb
│   │   ├── run_led.(49).cnf.hdb
│   │   ├── run_led.(5).cnf.cdb
│   │   ├── run_led.(5).cnf.hdb
│   │   ├── run_led.(50).cnf.cdb
│   │   ├── run_led.(50).cnf.hdb
│   │   ├── run_led.(51).cnf.cdb
│   │   ├── run_led.(51).cnf.hdb
│   │   ├── run_led.(52).cnf.cdb
│   │   ├── run_led.(52).cnf.hdb
│   │   ├── run_led.(53).cnf.cdb
│   │   ├── run_led.(53).cnf.hdb
│   │   ├── run_led.(54).cnf.cdb
│   │   ├── run_led.(54).cnf.hdb
│   │   ├── run_led.(6).cnf.cdb
│   │   ├── run_led.(6).cnf.hdb
│   │   ├── run_led.(7).cnf.cdb
│   │   ├── run_led.(7).cnf.hdb
│   │   ├── run_led.(8).cnf.cdb
│   │   ├── run_led.(8).cnf.hdb
│   │   ├── run_led.(9).cnf.cdb
│   │   ├── run_led.(9).cnf.hdb
│   │   ├── run_led.ae.hdb
│   │   ├── run_led.asm.qmsg
│   │   ├── run_led.asm.rdb
│   │   ├── run_led.asm_labs.ddb
│   │   ├── run_led.autoh_e40e1.map.reg_db.cdb
│   │   ├── run_led.autos_3e921.map.reg_db.cdb
│   │   ├── run_led.cbx.xml
│   │   ├── run_led.cmp.bpm
│   │   ├── run_led.cmp.cdb
│   │   ├── run_led.cmp.hdb
│   │   ├── run_led.cmp.idb
│   │   ├── run_led.cmp.logdb
│   │   ├── run_led.cmp.rdb
│   │   ├── run_led.cmp_merge.kpt
│   │   ├── run_led.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│   │   ├── run_led.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│   │   ├── run_led.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│   │   ├── run_led.db_info
│   │   ├── run_led.eda.qmsg
│   │   ├── run_led.fit.qmsg
│   │   ├── run_led.hier_info
│   │   ├── run_led.hif
│   │   ├── run_led.lpc.html
│   │   ├── run_led.lpc.rdb
│   │   ├── run_led.lpc.txt
│   │   ├── run_led.map.ammdb
│   │   ├── run_led.map.bpm
│   │   ├── run_led.map.cdb
│   │   ├── run_led.map.hdb
│   │   ├── run_led.map.kpt
│   │   ├── run_led.map.logdb
│   │   ├── run_led.map.qmsg
│   │   ├── run_led.map.rdb
│   │   ├── run_led.map_bb.cdb
│   │   ├── run_led.map_bb.hdb
│   │   ├── run_led.map_bb.logdb
│   │   ├── run_led.pplq.rdb
│   │   ├── run_led.pre_map.cdb
│   │   ├── run_led.pre_map.hdb
│   │   ├── run_led.root_partition.map.reg_db.cdb
│   │   ├── run_led.routing.rdb
│   │   ├── run_led.rtlv.hdb
│   │   ├── run_led.rtlv_sg.cdb
│   │   ├── run_led.rtlv_sg_swap.cdb
│   │   ├── run_led.sld_design_entry.sci
│   │   ├── run_led.sld_design_entry_dsc.sci
│   │   ├── run_led.smart_action.txt
│   │   ├── run_led.sta.qmsg
│   │   ├── run_led.sta.rdb
│   │   ├── run_led.sta_cmp.8_slow_1200mv_85c.tdb
│   │   ├── run_led.tis_db_list.ddb
│   │   ├── run_led.tiscmp.fast_1200mv_0c.ddb
│   │   ├── run_led.tiscmp.fastest_slow_1200mv_0c.ddb
│   │   ├── run_led.tiscmp.fastest_slow_1200mv_85c.ddb
│   │   ├── run_led.tiscmp.slow_1200mv_0c.ddb
│   │   ├── run_led.tiscmp.slow_1200mv_85c.ddb
│   │   ├── run_led.vpr.ammdb
│   │   ├── run_led_partition_pins.json
│   │   └── stp1_auto_stripped.stp
│   ├── incremental_db
│   │   ├── README
│   │   └── compiled_partitions
│   │       ├── run_led.autoh_e40e1.cmp.ammdb
│   │       ├── run_led.autoh_e40e1.cmp.cdb
│   │       ├── run_led.autoh_e40e1.cmp.dfp
│   │       ├── run_led.autoh_e40e1.cmp.hdb
│   │       ├── run_led.autoh_e40e1.cmp.logdb
│   │       ├── run_led.autoh_e40e1.cmp.rcfdb
│   │       ├── run_led.autoh_e40e1.map.cdb
│   │       ├── run_led.autoh_e40e1.map.dpi
│   │       ├── run_led.autoh_e40e1.map.hdb
│   │       ├── run_led.autoh_e40e1.map.kpt
│   │       ├── run_led.autoh_e40e1.map.logdb
│   │       ├── run_led.autos_3e921.cmp.ammdb
│   │       ├── run_led.autos_3e921.cmp.cdb
│   │       ├── run_led.autos_3e921.cmp.dfp
│   │       ├── run_led.autos_3e921.cmp.hdb
│   │       ├── run_led.autos_3e921.cmp.logdb
│   │       ├── run_led.autos_3e921.cmp.rcfdb
│   │       ├── run_led.autos_3e921.map.cdb
│   │       ├── run_led.autos_3e921.map.dpi
│   │       ├── run_led.autos_3e921.map.hdb
│   │       ├── run_led.autos_3e921.map.kpt
│   │       ├── run_led.autos_3e921.map.logdb
│   │       ├── run_led.db_info
│   │       ├── run_led.root_partition.cmp.ammdb
│   │       ├── run_led.root_partition.cmp.cdb
│   │       ├── run_led.root_partition.cmp.dfp
│   │       ├── run_led.root_partition.cmp.hdb
│   │       ├── run_led.root_partition.cmp.kpt
│   │       ├── run_led.root_partition.cmp.logdb
│   │       ├── run_led.root_partition.cmp.rcfdb
│   │       ├── run_led.root_partition.map.cdb
│   │       ├── run_led.root_partition.map.dpi
│   │       ├── run_led.root_partition.map.hbdb.cdb
│   │       ├── run_led.root_partition.map.hbdb.hb_info
│   │       ├── run_led.root_partition.map.hbdb.hdb
│   │       ├── run_led.root_partition.map.hbdb.sig
│   │       ├── run_led.root_partition.map.hdb
│   │       ├── run_led.root_partition.map.kpt
│   │       └── run_led.rrp.hdb
│   ├── led8_module.bsf
│   ├── led8_module.v
│   ├── led8_module.v.bak
│   ├── led8_module_inst.v
│   ├── output_files
│   │   ├── Chain2.cdf
│   │   ├── run_led.asm.rpt
│   │   ├── run_led.done
│   │   ├── run_led.eda.rpt
│   │   ├── run_led.fit.rpt
│   │   ├── run_led.fit.smsg
│   │   ├── run_led.fit.summary
│   │   ├── run_led.flow.rpt
│   │   ├── run_led.jdi
│   │   ├── run_led.map.rpt
│   │   ├── run_led.map.summary
│   │   ├── run_led.pin
│   │   ├── run_led.sld
│   │   ├── run_led.sof
│   │   ├── run_led.sta.rpt
│   │   ├── run_led.sta.summary
│   │   └── stp1.stp
│   ├── run_led.asm.rpt
│   ├── run_led.bsf
│   ├── run_led.done
│   ├── run_led.eda.rpt
│   ├── run_led.fit.rpt
│   ├── run_led.fit.smsg
│   ├── run_led.fit.summary
│   ├── run_led.flow.rpt
│   ├── run_led.jdi
│   ├── run_led.map.rpt
│   ├── run_led.map.summary
│   ├── run_led.pin
│   ├── run_led.qpf
│   ├── run_led.qsf
│   ├── run_led.qws
│   ├── run_led.sld
│   ├── run_led.sof
│   ├── run_led.sta.rpt
│   ├── run_led.sta.summary
│   ├── run_led.v
│   ├── run_led.v.bak
│   ├── run_led_assignment_defaults.qdf
│   └── simulation
│       └── modelsim
│           ├── run_led.sft
│           ├── run_led.vo
│           ├── run_led_7_1200mv_-40c_slow.vo
│           ├── run_led_7_1200mv_-40c_v_slow.sdo
│           ├── run_led_7_1200mv_125c_slow.vo
│           ├── run_led_7_1200mv_125c_v_slow.sdo
│           ├── run_led_8_1200mv_0c_slow.vo
│           ├── run_led_8_1200mv_0c_v_slow.sdo
│           ├── run_led_8_1200mv_85c_slow.vo
│           ├── run_led_8_1200mv_85c_v_slow.sdo
│           ├── run_led_min_1200mv_-40c_fast.vo
│           ├── run_led_min_1200mv_-40c_v_fast.sdo
│           ├── run_led_min_1200mv_0c_fast.vo
│           ├── run_led_min_1200mv_0c_v_fast.sdo
│           ├── run_led_modelsim.xrf
│           └── run_led_v.sdo
├── Lab02 Logic_Gate
│   ├── Gate_module.v
│   ├── Gate_module.v.bak
│   ├── Gate_module_inst.v
│   ├── db
│   │   ├── gate.(0).cnf.cdb
│   │   ├── gate.(0).cnf.hdb
│   │   ├── gate.(1).cnf.cdb
│   │   ├── gate.(1).cnf.hdb
│   │   ├── gate.ae.hdb
│   │   ├── gate.asm.qmsg
│   │   ├── gate.asm.rdb
│   │   ├── gate.asm_labs.ddb
│   │   ├── gate.cbx.xml
│   │   ├── gate.cmp.bpm
│   │   ├── gate.cmp.cdb
│   │   ├── gate.cmp.hdb
│   │   ├── gate.cmp.idb
│   │   ├── gate.cmp.logdb
│   │   ├── gate.cmp.rdb
│   │   ├── gate.cmp_merge.kpt
│   │   ├── gate.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│   │   ├── gate.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│   │   ├── gate.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│   │   ├── gate.db_info
│   │   ├── gate.eda.qmsg
│   │   ├── gate.fit.qmsg
│   │   ├── gate.hier_info
│   │   ├── gate.hif
│   │   ├── gate.lpc.html
│   │   ├── gate.lpc.rdb
│   │   ├── gate.lpc.txt
│   │   ├── gate.map.ammdb
│   │   ├── gate.map.bpm
│   │   ├── gate.map.cdb
│   │   ├── gate.map.hdb
│   │   ├── gate.map.kpt
│   │   ├── gate.map.logdb
│   │   ├── gate.map.qmsg
│   │   ├── gate.map.rdb
│   │   ├── gate.map_bb.cdb
│   │   ├── gate.map_bb.hdb
│   │   ├── gate.map_bb.logdb
│   │   ├── gate.pplq.rdb
│   │   ├── gate.pre_map.cdb
│   │   ├── gate.pre_map.hdb
│   │   ├── gate.root_partition.map.reg_db.cdb
│   │   ├── gate.routing.rdb
│   │   ├── gate.rtlv.hdb
│   │   ├── gate.rtlv_sg.cdb
│   │   ├── gate.rtlv_sg_swap.cdb
│   │   ├── gate.sld_design_entry.sci
│   │   ├── gate.sld_design_entry_dsc.sci
│   │   ├── gate.smart_action.txt
│   │   ├── gate.sta.qmsg
│   │   ├── gate.sta.rdb
│   │   ├── gate.sta_cmp.8_slow_1200mv_85c.tdb
│   │   ├── gate.tis_db_list.ddb
│   │   ├── gate.tiscmp.fast_1200mv_0c.ddb
│   │   ├── gate.tiscmp.fastest_slow_1200mv_0c.ddb
│   │   ├── gate.tiscmp.fastest_slow_1200mv_85c.ddb
│   │   ├── gate.tiscmp.slow_1200mv_0c.ddb
│   │   ├── gate.tiscmp.slow_1200mv_85c.ddb
│   │   ├── gate.tmw_info
│   │   ├── gate.vpr.ammdb
│   │   ├── gate_partition_pins.json
│   │   ├── logic_util_heursitic.dat
│   │   └── prev_cmp_gate.qmsg
│   ├── gate.asm.rpt
│   ├── gate.bsf
│   ├── gate.done
│   ├── gate.eda.rpt
│   ├── gate.fit.rpt
│   ├── gate.fit.smsg
│   ├── gate.fit.summary
│   ├── gate.flow.rpt
│   ├── gate.jdi
│   ├── gate.map.rpt
│   ├── gate.map.summary
│   ├── gate.pin
│   ├── gate.qpf
│   ├── gate.qsf
│   ├── gate.qws
│   ├── gate.sld
│   ├── gate.sof
│   ├── gate.sta.rpt
│   ├── gate.sta.summary
│   ├── gate.v
│   ├── gate.v.bak
│   ├── gate_assignment_defaults.qdf
│   ├── incremental_db
│   │   ├── README
│   │   └── compiled_partitions
│   │       ├── gate.db_info
│   │       ├── gate.root_partition.cmp.ammdb
│   │       ├── gate.root_partition.cmp.cdb
│   │       ├── gate.root_partition.cmp.dfp
│   │       ├── gate.root_partition.cmp.hdb
│   │       ├── gate.root_partition.cmp.kpt
│   │       ├── gate.root_partition.cmp.logdb
│   │       ├── gate.root_partition.cmp.rcfdb
│   │       ├── gate.root_partition.map.cdb
│   │       ├── gate.root_partition.map.dpi
│   │       ├── gate.root_partition.map.hbdb.cdb
│   │       ├── gate.root_partition.map.hbdb.hb_info
│   │       ├── gate.root_partition.map.hbdb.hdb
│   │       ├── gate.root_partition.map.hbdb.sig
│   │       ├── gate.root_partition.map.hdb
│   │       ├── gate.root_partition.map.kpt
│   │       └── gate.rrp.hdb
│   ├── output_files
│   │   ├── gate.asm.rpt
│   │   ├── gate.done
│   │   ├── gate.eda.rpt
│   │   ├── gate.fit.rpt
│   │   ├── gate.fit.smsg
│   │   ├── gate.fit.summary
│   │   ├── gate.flow.rpt
│   │   ├── gate.jdi
│   │   ├── gate.map.rpt
│   │   ├── gate.map.summary
│   │   ├── gate.pin
│   │   ├── gate.sld
│   │   ├── gate.sof
│   │   ├── gate.sta.rpt
│   │   └── gate.sta.summary
│   └── simulation
│       └── modelsim
│           ├── gate.sft
│           ├── gate.vo
│           ├── gate_8_1200mv_0c_slow.vo
│           ├── gate_8_1200mv_0c_v_slow.sdo
│           ├── gate_8_1200mv_85c_slow.vo
│           ├── gate_8_1200mv_85c_v_slow.sdo
│           ├── gate_min_1200mv_0c_fast.vo
│           ├── gate_min_1200mv_0c_v_fast.sdo
│           ├── gate_modelsim.xrf
│           └── gate_v.sdo
├── Lab03 Adder
│   ├── Adder_module.v
│   ├── Adder_module.v.bak
│   ├── Adder_module_inst.v
│   ├── adder.asm.rpt
│   ├── adder.bsf
│   ├── adder.done
│   ├── adder.eda.rpt
│   ├── adder.fit.rpt
│   ├── adder.fit.smsg
│   ├── adder.fit.summary
│   ├── adder.flow.rpt
│   ├── adder.jdi
│   ├── adder.map.rpt
│   ├── adder.map.summary
│   ├── adder.pin
│   ├── adder.qpf
│   ├── adder.qsf
│   ├── adder.qws
│   ├── adder.sld
│   ├── adder.sof
│   ├── adder.sta.rpt
│   ├── adder.sta.summary
│   ├── adder.v
│   ├── adder.v.bak
│   ├── adder_assignment_defaults.qdf
│   ├── db
│   │   ├── adder.(0).cnf.cdb
│   │   ├── adder.(0).cnf.hdb
│   │   ├── adder.(1).cnf.cdb
│   │   ├── adder.(1).cnf.hdb
│   │   ├── adder.ae.hdb
│   │   ├── adder.asm.qmsg
│   │   ├── adder.asm.rdb
│   │   ├── adder.asm_labs.ddb
│   │   ├── adder.cbx.xml
│   │   ├── adder.cmp.bpm
│   │   ├── adder.cmp.cdb
│   │   ├── adder.cmp.hdb
│   │   ├── adder.cmp.idb
│   │   ├── adder.cmp.logdb
│   │   ├── adder.cmp.rdb
│   │   ├── adder.cmp_merge.kpt
│   │   ├── adder.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
│   │   ├── adder.cycloneive_io_sim_cache.45um_ss_1200mv_0c_slow.hsd
│   │   ├── adder.cycloneive_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
│   │   ├── adder.db_info
│   │   ├── adder.eda.qmsg
│   │   ├── adder.fit.qmsg
│   │   ├── adder.hier_info
│   │   ├── adder.hif
│   │   ├── adder.lpc.html
│   │   ├── adder.lpc.rdb
│   │   ├── adder.lpc.txt
│   │   ├── adder.map.ammdb
│   │   ├── adder.map.bpm
│   │   ├── adder.map.cdb
│   │   ├── adder.map.hdb
│   │   ├── adder.map.kpt
│   │   ├── adder.map.logdb
│   │   ├── adder.map.qmsg
│   │   ├── adder.map.rdb
│   │   ├── adder.map_bb.cdb
│   │   ├── adder.map_bb.hdb
│   │   ├── adder.map_bb.logdb
│   │   ├── adder.pplq.rdb
│   │   ├── adder.pre_map.cdb
│   │   ├── adder.pre_map.hdb
│   │   ├── adder.root_partition.map.reg_db.cdb
│   │   ├── adder.routing.rdb
│   │   ├── adder.rtlv.hdb
│   │   ├── adder.rtlv_sg.cdb
│   │   ├── adder.rtlv_sg_swap.cdb
│   │   ├── adder.sld_design_entry.sci
│   │   ├── adder.sld_design_entry_dsc.sci
│   │   ├── adder.smart_action.txt
│   │   ├── adder.sta.qmsg
│   │   ├── adder.sta.rdb
│   │   ├── adder.sta_cmp.8_slow_1200mv_85c.tdb
│   │   ├── adder.tis_db_list.ddb
│   │   ├── adder.tiscmp.fast_1200mv_0c.ddb
│   │   ├── adder.tiscmp.fastest_slow_1200mv_0c.ddb
│   │   ├── adder.tiscmp.fastest_slow_1200mv_85c.ddb
│   │   ├── adder.tiscmp.slow_1200mv_0c.ddb
│   │   ├── adder.tiscmp.slow_1200mv_85c.ddb
│   │   ├── adder.vpr.ammdb
│   │   ├── adder_partition_pins.json
│   │   ├── logic_util_heursitic.dat
│   │   └── prev_cmp_adder.qmsg
│   ├── incremental_db
│   │   ├── README
│   │   └── compiled_partitions
│   │       ├── adder.db_info
│   │       ├── adder.root_partition.cmp.ammdb
│   │       ├── adder.root_partition.cmp.cdb
│   │       ├── adder.root_partition.cmp.dfp
│   │       ├── adder.root_partition.cmp.hdb
│   │       ├── adder.root_partition.cmp.kpt
│   │       ├── adder.root_partition.cmp.logdb
│   │       ├── adder.root_partition.cmp.rcfdb
│   │       ├── adder.root_partition.map.cdb
│   │       ├── adder.root_partition.map.dpi
│   │       ├── adder.root_partition.map.hbdb.cdb
│   │       ├── adder.root_partition.map.hbdb.hb_info
│   │       ├── adder.root_partition.map.hbdb.hdb
│   │       ├── adder.root_partition.map.hbdb.sig
│   │       ├── adder.root_partition.map.hdb
│   │       ├── adder.root_partition.map.kpt
│   │       └── adder.rrp.hdb
│   ├── output_files
│   │   ├── adder.asm.rpt
│   │   ├── adder.done
│   │   ├── adder.eda.rpt
│   │   ├── adder.fit.rpt
│   │   ├── adder.fit.smsg
│   │   ├── adder.fit.summary
│   │   ├── adder.flow.rpt
│   │   ├── adder.jdi
│   │   ├── adder.map.rpt
│   │   ├── adder.map.summary
│   │   ├── adder.pin
│   │   ├── adder.sof
│   │   ├── adder.sta.rpt
│   │   └── adder.sta.summary
│   └── simulation
│       └── modelsim
│           ├── adder.sft
│           ├── adder.vo
│           ├── adder_8_1200mv_0c_slow.vo
│           ├── adder_8_1200mv_0c_v_slow.sdo
│           ├── adder_8_1200mv_85c_slow.vo
│           ├── adder_8_1200mv_85c_v_slow.sdo
│           ├── adder_min_1200mv_0c_fast.vo
│           ├── adder_min_1200mv_0c_v_fast.sdo
│           ├── adder_modelsim.xrf
│           └── adder_v.sdo
├── Lab04 Decoder_3-8
│   ├── decode38.v
│   ├── decode_module.v
│   └── decode_module_inst.v
├── Lab05 Frenquency_Divider
│   ├── Buzzer_module.v
│   ├── Buzzer_module_inst.v
│   ├── Digitron_TimeDisplay_module.v
│   ├── Digitron_TimeDisplay_module_inst.v
│   ├── TimeKeeper_module.v
│   ├── TimeKeeper_module_inst.v
│   └── clock.v
├── Lab06 Mux_81
│   ├── mux81.v
│   ├── mux81_module.v
│   └── mux81_module_inst.v
├── Lab07 Encoder_83
│   ├── pencode83.v
│   ├── pencode_module.v
│   └── pencode_module_inst.v
├── Lab08 D_flip-flop_1
│   ├── triggerD1.v
│   ├── trigger_module.v
│   └── trigger_module_inst.v
├── Lab09 D_flip-flop_2
│   ├── triggerD2.v
│   ├── triggerD2_inst.v
│   ├── trigger_module.v
│   └── trigger_module_inst.v
├── Lab10 JK_flip-flop
│   ├── triggerJK1.v
│   ├── trigger_module.v
│   └── trigger_module_inst.v
├── Lab11 Counter
│   ├── Accumulator_module.v
│   ├── Accumulator_module_inst.v
│   ├── Digitron_NumDisplay_module.v
│   ├── Digitron_NumDisplay_module_inst.v
│   └── counter24.v
└── 好例子网_HA-EDA01 DEMO-Verilog_v1.0.zip

32 directories, 583 files



实例下载地址

清华FPGA口袋实验板配套程序

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警