在好例子网,分享、交流、成长!
您当前所在位置:首页Config 开发实例其他配置 → Verdi User Guide and Tutorial 2009.04

Verdi User Guide and Tutorial 2009.04

其他配置

下载此实例
  • 开发语言:Config
  • 实例大小:22.83M
  • 下载次数:10
  • 浏览次数:147
  • 发布时间:2022-03-19
  • 实例类别:其他配置
  • 发 布 人:tiankong_example
  • 文件格式:.pdf
  • 所需积分:1
 相关标签: Verdi User Guide

实例介绍

【实例简介】Verdi User Guide and Tutorial 2009.04

【实例截图】


【核心代码】
Contents
About This Book 1
Purpose.........................................................................................................1
Audience......................................................................................................1
Book Organization.......................................................................................2
Conventions Used in This Book..................................................................3
Related Publications.....................................................................................4
How to Reach SpringSoft Inc......................................................................5
Introduction 7
Overview......................................................................................................7
Technology Overview..................................................................................8
Compilers, Interfaces and Interoperability.............................................8
Databases................................................................................................9
Analysis Engines ....................................................................................9
Visualization.........................................................................................10
Product Overview ......................................................................................11
Additional Modules..............................................................................12
User Interface 13
Overview....................................................................................................13
Common User Interface Features ..............................................................14
Window Banner....................................................................................14
Pull-down Menus..................................................................................14
Mnemonic Keys....................................................................................14
Bind Keys .............................................................................................14
On-line Help .........................................................................................14
Toolbars................................................................................................15
Mouse Operation ..................................................................................15
Right Mouse Button Menus..................................................................15
nTrace User Interface.................................................................................16
nTrace Hierarchy Browser Pane...........................................................17
nTrace Hypertext Source Code Pane....................................................18
nTrace Message Pane...........................................................................19
nTrace Toolbar Icons............................................................................19
nTrace User Interface in Interactive Mode...........................................19
Contents
ii
nWave User Interface ................................................................................22
nWave Signal Pane ...............................................................................22
nWave Value Pane................................................................................23
nWave Waveform Pane.........................................................................23
nWave Toolbar Icons............................................................................25
Get Signals............................................................................................25
nSchema User Interface.............................................................................27
nSchema Toolbar Icons.........................................................................28
nState User Interface..................................................................................29
nState Toolbar Icons.............................................................................30
Flow View User Interface..........................................................................31
Flow View Toolbar Icons.....................................................................32
nCompare User Interface...........................................................................32
Transaction User Interface.........................................................................33
Detailed Transaction View in nWave...................................................33
Transaction Properties..........................................................................35
Transaction Attributes ..........................................................................35
Analyzing Transactions........................................................................36
Before You Begin 37
Launching Techniques 39
Reference Source Files on Command Line ...............................................39
Compile Source Code into a Library.........................................................39
Reference Design and FSDB on Command Line......................................40
Replay a File..............................................................................................40
Launch Without Specifying Any Source Files ..........................................41
Loading when Design and FSDB Hierarchies Mis-match.........................42
nTrace Tutorial 45
Traverse the Design Hierarchy in nTrace..................................................45
Access a Block’s Source Code ..................................................................46
Find Scope............................................................................................47
Trace Drivers and Loads............................................................................48
Find String............................................................................................48
Trace Driver..........................................................................................49
Add Bookmarks....................................................................................50
Trace Load............................................................................................50
Trace Connectivity................................................................................51
Save Trace Result and Reset History....................................................52
Edit Source Code .......................................................................................53
Contents
iii
Use Active Annotation...............................................................................54
Trace the Active Driver .............................................................................56
nSchema Tutorial 57
Start nSchema ............................................................................................58
Manipulate the Schematic View................................................................60
Change the Schematic View Among Instances....................................61
Enable Viewing Objects.......................................................................62
Find an Instance or a Signal in a Schematic.........................................63
Change the Color of the Selected Signal..............................................65
Trace Signals..............................................................................................66
Find the Drivers of a Signal..................................................................66
Find the Load of a Signal......................................................................67
Find the Connectivity of a Signal and Generate a New Schematic From Trace
Results...................................................................................................67
Show RTL Block Diagram in a More Meaningful Way............................69
Generate Partial Schematics ......................................................................71
Hierarchical...........................................................................................71
Flatten Window ....................................................................................72
Fan-in and Fan-out................................................................................73
Trace Between Two Points...................................................................75
Capture the Schematic View......................................................................77
Use Active Annotation to Show Signal Values.........................................78
Change the Color or the Line Style for Annotations............................78
nWave Tutorial 79
Start nWave and Open a Simulation Result File........................................79
Add Signals................................................................................................81
Add Signals from Other Windows .......................................................81
Use Get Signals to Add Signals............................................................81
Manipulate the Waveform View................................................................84
Set the Cursor/Marker Positions...........................................................84
Zoom Cursor with Three Clicks...........................................................85
Fast Zoom on the Full Scale Ruler.......................................................86
Pan the Waveform ................................................................................86
Turn On/Off Signal Grids.....................................................................86
Add Marker Labels...............................................................................87
Change the Display Sequence of Signals .............................................88
Search for Signal Value Transitions.....................................................88
Add Comments.....................................................................................89
Compress Time Ranges........................................................................90
Contents
iv
Split the Waveform View.....................................................................90
Change Signal/Group Attributes................................................................91
Search for a Group................................................................................91
Change the Group Name ......................................................................91
Display Hierarchical Signal Names......................................................91
Modify the Display Format in the Value Window...............................91
Add Alias to Display Bus Values.........................................................92
Change the Spacing and Signal Height ................................................93
Change Signal Color/Pattern ................................................................94
Create New Signals/Buses from Existing Signals.....................................95
Logical Operations................................................................................95
Bus Creation .........................................................................................96
Events/Complex Events........................................................................97
Save and Restore Signals.........................................................................101
Save the Displayed Signals.................................................................101
Restore Previously Saved Signals ......................................................101
Create a Second Waveform Window and Restore Other Signals.......101
nState Tutorial 103
Overview..................................................................................................103
Start nState...............................................................................................104
Manipulate the State Diagram View........................................................106
Enable Viewing Objects.....................................................................106
Find the Start and End States of a Transition.....................................107
Create a Partial Finite State Machine View........................................108
State Animation .......................................................................................109
State Machine Analysis............................................................................112
Temporal Flow View Tutorial 113
Overview..................................................................................................113
Invoke a Temporal Flow View.................................................................114
Manipulate the View................................................................................117
Display More Information..................................................................117
Show Active Statements..........................................................................119
Display Source Code................................................................................120
Add Signals from the Temporal Flow View to nWave ............................122
Compact Temporal Flow View ................................................................123
Temporal Register View...........................................................................125
Debug a Design with Simulation Results Tutorial 127
Contents
v
Find the Active Driver.............................................................................127
Generate Fan-in Cone..............................................................................130
Debug Memory Content ..........................................................................132
Application Tutorials 135
Quickly Search Backward in Time for Value Causes .............................135
Invoke a Temporal Flow View............................................................135
Show Active Statements.....................................................................137
Trace This Value Automatically.........................................................138
Trace Another Path.............................................................................141
Show Signals on nWave......................................................................142
Debug Memories......................................................................................143
Debug Synthesizeable Memory Models.............................................143
Debug Non-synthesizeable Memory Models .....................................152
Debug PLI Memory Models...............................................................158
Debug Gate vs. RTL Simulation Mismatch.............................................163
Locate the Signal to Compare.............................................................163
Load Simulation Results and Display Waveforms.............................165
Compare the Simulation Results ........................................................166
Isolate the Problem.............................................................................168
Behavior Trace for Root Cause of Simulation Mismatches ....................169
Locate the Simulation Mismatch........................................................169
Behavior Trace for the Root Cause of Mismatch...............................170
Debug Unknown (X) Values ...................................................................175
Locate the Root Cause of the “X” Value on ZFout............................175
Visualize the Active Paths in the Temporal Flow View.....................181
Debug Finite State Machines...................................................................185
Display the State Register in nWave..................................................185
Locate Trigger Conditions from the State Sequences ........................186
Unroll State Sequences Over Multiple Cycles ...................................186
Synchronize the Temporal Register View with nState .......................187
Trace Active Control Signals for Each State Transition in nWave....188
Expand the Logic Cones for One of the Condition Signals................189
Debug with SystemVerilog......................................................................191
Import the Design...............................................................................191
Visualize SV Source Code..................................................................193
View SV Simulation Results..............................................................196
Debug with SystemVerilog Assertions (SVA)........................................201
Import the Design...............................................................................201
Visualize SVA Source Code...............................................................203
View SVA Simulation Results ...........................................................208
Contents
vi
Analyze SVA Assertions....................................................................218
Understand Local Variables and Threading.......................................220
Evaluate SVA Assertions ...................................................................225
Debug with Transactions .........................................................................227
What is a Transaction?........................................................................227
Generating Transaction Data..............................................................227
View Transactions in nWave..............................................................228
View Transactions in Transaction Analyzer Window........................231
Interactive Simulation Control.................................................................245
Before You Begin...............................................................................245
Set the Simulator Executable..............................................................246
Invoke Simulator and Run the Tutorial..............................................249
Appendix A: Supported Waveform Formats 255
Overview..................................................................................................255
Fast Fourier Transformers (FFT).............................................................256
Introduction.........................................................................................256
Getting Data from Analog Signal.......................................................256
Getting Data from Synopsys HSIM FFT............................................259
EVCD.......................................................................................................262
Analog Waveform Example ....................................................................265
View the Analog Waveform...............................................................265
Manipulate the Analog Waveform .....................................................266
View Different Simulation Results in the Same Window..................267
Overlap Analog Signals from Different Simulation Results..............268
Appendix B: Supported FSM Coding Styles 269
Overview..................................................................................................269
One-Process (Always) .............................................................................270
Example 1 - Verilog (one_process.v).................................................271
Example 2 - VHDL (one_process.vhd)..............................................273
Two-Process (Always).............................................................................275
Example 1 - Verilog (two_process.v) .................................................276
Example 2 - VHDL (two_process.vhd)..............................................278
One-Hot Encoding...................................................................................280
Shift Arithmetic Operation ......................................................................283
Case-Statement vs. If-Statement..............................................................285
Example 1...........................................................................................285
Example 2...........................................................................................287
Gate-Like FSM ........................................................................................289
Next_State = signal..................................................................................291
Contents
vii
Next_State = Current_State N..............................................................293
VHDL Record Type.................................................................................294
Appendix C: Enhanced RTL Extraction 297
Overview..................................................................................................297
Instance Array..........................................................................................299
For Loop...................................................................................................300
Aggregate.................................................................................................301
Partial Bits Assignment............................................................................304
Displaying Pure Memory Blocks.............................................................307
Appendix D: Additional Transaction Examples 309
Extract Transactions Using SystemVerilog Assertions (SVA) ...............309
SVA Code...........................................................................................310
Analyze Transactions Using TCL............................................................315
Execute the TCL File..........................................................................315
Manipulate Transactions and View Statistics with TCL....................316
Example TCL Script...........................................................................319
Generate an FSDB File with Transaction Information............................325
PLI Background..................................................................................325
Procedures for Writing a PLI Routine................................................326
Steps for Writing FSDB......................................................................330
Steps to Dump Transactions to FSDB................................................331
C Files for FSDB Writer API .............................................................333
Use Provided C Files for PCI Transaction Dumping .........................334
Index 337
Contents






































































标签: Verdi User Guide

实例下载地址

Verdi User Guide and Tutorial 2009.04

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警