在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例Clojure → Cadence高速电路设计——Allegro Sigrity SI-PI-EMI设计指南 随书光盘网盘

Cadence高速电路设计——Allegro Sigrity SI-PI-EMI设计指南 随书光盘网盘

Clojure

下载此实例
  • 开发语言:Others
  • 实例大小:212.47M
  • 下载次数:26
  • 浏览次数:174
  • 发布时间:2022-01-18
  • 实例类别:Clojure
  • 发 布 人:huan764511041
  • 文件格式:.zip
  • 所需积分:2
 相关标签: ALLEGRO CADENCE 电路设计 cad 网盘

实例介绍

【实例简介】Cadence高速电路设计——Allegro Sigrity SI-PI-EMI设计指南   随书光盘网盘

【实例截图】

from clipboard

【核心代码】

.
├── Cadence高速电路设计——Allegro Sigrity SI-PI-EMI设计指南   随书光盘网盘
│   ├── Chapter1
│   │   ├── Allegro_Sigrity_SI
│   │   │   ├── Crosstalk.top
│   │   │   ├── Daisychain.top
│   │   │   ├── Daisychain_termination.top
│   │   │   ├── Reflection.top
│   │   │   └── Termination.top
│   │   ├── DDR3_case
│   │   │   ├── Completed
│   │   │   │   ├── DDR_case_done.brd
│   │   │   │   ├── DDR_case_done.brd.lck
│   │   │   │   ├── Extracted_topology.top
│   │   │   │   ├── Extracted_topology_no_termination.top
│   │   │   │   ├── allegro.jrl
│   │   │   │   ├── allegro.jrl,1
│   │   │   │   ├── devices.dml
│   │   │   │   ├── ibis_models.inc,1
│   │   │   │   ├── interconn.iml
│   │   │   │   ├── interconn.iml,1
│   │   │   │   ├── memory.ibs
│   │   │   │   ├── memorycontroller.ibs
│   │   │   │   ├── signoise.log
│   │   │   │   ├── signoise.log,1
│   │   │   │   ├── signoise.run
│   │   │   │   │   ├── case1
│   │   │   │   │   │   ├── case.cfg
│   │   │   │   │   │   ├── case.cfg,1
│   │   │   │   │   │   ├── projstate.dat
│   │   │   │   │   │   ├── sigsimcntl.dat
│   │   │   │   │   │   ├── sigsimres.dat
│   │   │   │   │   │   └── waveforms
│   │   │   │   │   │       ├── sim1.sim
│   │   │   │   │   │       └── sim1.sim.cfg
│   │   │   │   │   ├── cases.cfg
│   │   │   │   │   ├── cases.cfg,1
│   │   │   │   │   ├── signoise.cfg
│   │   │   │   │   └── signoise.cfg,1
│   │   │   │   ├── sigxp.dml
│   │   │   │   ├── sigxp.dml,1
│   │   │   │   ├── sigxp.jrl
│   │   │   │   ├── sigxp.run
│   │   │   │   │   ├── case0
│   │   │   │   │   │   ├── case.cfg
│   │   │   │   │   │   ├── case.cfg,1
│   │   │   │   │   │   ├── projstate.dat
│   │   │   │   │   │   ├── projstate.dat,1
│   │   │   │   │   │   ├── sigsimcntl.dat
│   │   │   │   │   │   ├── sigsimres.dat
│   │   │   │   │   │   ├── sim1
│   │   │   │   │   │   │   ├── comp_rlgc.inc
│   │   │   │   │   │   │   ├── comps.spc
│   │   │   │   │   │   │   ├── cycle.msm
│   │   │   │   │   │   │   ├── cycle.msm,1
│   │   │   │   │   │   │   ├── delay.dl
│   │   │   │   │   │   │   ├── delay.dl,1
│   │   │   │   │   │   │   ├── distortion.dst
│   │   │   │   │   │   │   ├── distortion.dst,1
│   │   │   │   │   │   │   ├── ibis_models.inc
│   │   │   │   │   │   │   ├── interconn.spc
│   │   │   │   │   │   │   ├── main.spc
│   │   │   │   │   │   │   ├── ntl_rlgc.inc
│   │   │   │   │   │   │   ├── stimulus.spc
│   │   │   │   │   │   │   ├── tlsim.log
│   │   │   │   │   │   │   ├── tlsim.log,1
│   │   │   │   │   │   │   ├── tlsim.log,2
│   │   │   │   │   │   │   └── tlsim.log,3
│   │   │   │   │   │   └── waveforms
│   │   │   │   │   │       ├── sim1.cfg
│   │   │   │   │   │       └── sim1.sim
│   │   │   │   │   ├── cases.cfg
│   │   │   │   │   ├── cases.cfg,1
│   │   │   │   │   ├── signoise.cfg
│   │   │   │   │   └── signoise.cfg,1
│   │   │   │   └── sweep_rpt_tab.txt
│   │   │   └── Original
│   │   │       ├── DDR_case.brd
│   │   │       ├── allegro.jrl
│   │   │       ├── devices.dml
│   │   │       ├── devices.dml,1
│   │   │       ├── ibis_models.inc,1
│   │   │       ├── interconn.iml
│   │   │       ├── interconn.iml,1
│   │   │       ├── memory.ibs
│   │   │       ├── memorycontroller.ibs
│   │   │       ├── modeleditor.log
│   │   │       ├── signoise.log
│   │   │       ├── signoise.log,1
│   │   │       ├── signoise.log,2
│   │   │       ├── signoise.log,3
│   │   │       ├── signoise.run
│   │   │       │   ├── case1
│   │   │       │   │   ├── case.cfg
│   │   │       │   │   ├── case.cfg,1
│   │   │       │   │   ├── projstate.dat
│   │   │       │   │   ├── sigsimcntl.dat
│   │   │       │   │   ├── sigsimres.dat
│   │   │       │   │   └── waveforms
│   │   │       │   │       ├── sim1.sim
│   │   │       │   │       └── sim1.sim.cfg
│   │   │       │   ├── cases.cfg
│   │   │       │   ├── cases.cfg,1
│   │   │       │   ├── signoise.cfg
│   │   │       │   └── signoise.cfg,1
│   │   │       ├── sigxp.dml
│   │   │       ├── sigxp.dml,1
│   │   │       ├── sigxp.jrl
│   │   │       ├── sigxp.run
│   │   │       │   ├── case0
│   │   │       │   │   ├── case.cfg
│   │   │       │   │   ├── case.cfg,1
│   │   │       │   │   ├── projstate.dat
│   │   │       │   │   ├── projstate.dat,1
│   │   │       │   │   ├── sigsimcntl.dat
│   │   │       │   │   ├── sigsimres.dat
│   │   │       │   │   ├── sim1
│   │   │       │   │   │   ├── comp_rlgc.inc
│   │   │       │   │   │   ├── comps.spc
│   │   │       │   │   │   ├── cycle.msm
│   │   │       │   │   │   ├── cycle.msm,1
│   │   │       │   │   │   ├── delay.dl
│   │   │       │   │   │   ├── delay.dl,1
│   │   │       │   │   │   ├── distortion.dst
│   │   │       │   │   │   ├── distortion.dst,1
│   │   │       │   │   │   ├── ibis_models.inc
│   │   │       │   │   │   ├── interconn.spc
│   │   │       │   │   │   ├── main.spc
│   │   │       │   │   │   ├── ntl_rlgc.inc
│   │   │       │   │   │   ├── stimulus.spc
│   │   │       │   │   │   ├── tlsim.log
│   │   │       │   │   │   └── tlsim.log,1
│   │   │       │   │   └── waveforms
│   │   │       │   │       ├── sim1.cfg
│   │   │       │   │       └── sim1.sim
│   │   │       │   ├── cases.cfg
│   │   │       │   ├── cases.cfg,1
│   │   │       │   ├── signoise.cfg
│   │   │       │   └── signoise.cfg,1
│   │   │       └── sweep_rpt_tab.txt
│   │   ├── Readme.txt
│   │   └── Trace_checking
│   │       ├── Completed
│   │       │   └── DDR_Case_Check.spd
│   │       └── Original
│   │           ├── DDR_Case_Check.SAV
│   │           ├── DDR_Case_Check.brd
│   │           ├── DDR_Case_Check.log
│   │           ├── DDR_Case_Check.spd
│   │           ├── DDR_Case_Check_PowerSI.err
│   │           ├── DDR_Case_Check_Trace
│   │           │   ├── DDR_Case_Check_CoPlanarRefSum_112814_145542.csv
│   │           │   ├── DDR_Case_Check_CoPlanarRefSum_112814_150458.csv
│   │           │   ├── DDR_Case_Check_CoPlanarRefSum_112814_150725.csv
│   │           │   ├── DDR_Case_Check_CoPlanarRefSum_112814_153800.csv
│   │           │   ├── DDR_Case_Check_CoPlanarRefSum_112814_153850.csv
│   │           │   ├── DDR_Case_Check_CoPlanarRefSum_112814_154314.csv
│   │           │   ├── DDR_Case_Check_CoPlanarRefSum_112814_154731.csv
│   │           │   ├── DDR_Case_Check_CplDetailed_112814_145542.csv
│   │           │   ├── DDR_Case_Check_CplDetailed_112814_150458.csv
│   │           │   ├── DDR_Case_Check_CplDetailed_112814_150725.csv
│   │           │   ├── DDR_Case_Check_CplDetailed_112814_153800.csv
│   │           │   ├── DDR_Case_Check_CplDetailed_112814_153850.csv
│   │           │   ├── DDR_Case_Check_CplDetailed_112814_154314.csv
│   │           │   ├── DDR_Case_Check_CplDetailed_112814_154731.csv
│   │           │   ├── DDR_Case_Check_CplSum_112814_145542.csv
│   │           │   ├── DDR_Case_Check_CplSum_112814_150458.csv
│   │           │   ├── DDR_Case_Check_CplSum_112814_150725.csv
│   │           │   ├── DDR_Case_Check_CplSum_112814_153800.csv
│   │           │   ├── DDR_Case_Check_CplSum_112814_153850.csv
│   │           │   ├── DDR_Case_Check_CplSum_112814_154314.csv
│   │           │   ├── DDR_Case_Check_CplSum_112814_154731.csv
│   │           │   ├── DDR_Case_Check_ImpDetailed_112814_145542.csv
│   │           │   ├── DDR_Case_Check_ImpDetailed_112814_150458.csv
│   │           │   ├── DDR_Case_Check_ImpDetailed_112814_150725.csv
│   │           │   ├── DDR_Case_Check_ImpDetailed_112814_153800.csv
│   │           │   ├── DDR_Case_Check_ImpDetailed_112814_153850.csv
│   │           │   ├── DDR_Case_Check_ImpDetailed_112814_154314.csv
│   │           │   ├── DDR_Case_Check_ImpDetailed_112814_154731.csv
│   │           │   ├── DDR_Case_Check_ImpSum_112814_145542.csv
│   │           │   ├── DDR_Case_Check_ImpSum_112814_150458.csv
│   │           │   ├── DDR_Case_Check_ImpSum_112814_150725.csv
│   │           │   ├── DDR_Case_Check_ImpSum_112814_153800.csv
│   │           │   ├── DDR_Case_Check_ImpSum_112814_153850.csv
│   │           │   ├── DDR_Case_Check_ImpSum_112814_154314.csv
│   │           │   ├── DDR_Case_Check_ImpSum_112814_154731.csv
│   │           │   ├── DDR_Case_Check_UpperLowerRefSum_112814_145542.csv
│   │           │   ├── DDR_Case_Check_UpperLowerRefSum_112814_150458.csv
│   │           │   ├── DDR_Case_Check_UpperLowerRefSum_112814_150725.csv
│   │           │   ├── DDR_Case_Check_UpperLowerRefSum_112814_153800.csv
│   │           │   ├── DDR_Case_Check_UpperLowerRefSum_112814_153850.csv
│   │           │   ├── DDR_Case_Check_UpperLowerRefSum_112814_154314.csv
│   │           │   ├── DDR_Case_Check_UpperLowerRefSum_112814_154731.csv
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_145542_result.xml
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_145542_resultBin.bin
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_150458_result.xml
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_150458_resultBin.bin
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_150725_result.xml
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_150725_resultBin.bin
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_153800_result.xml
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_153800_resultBin.bin
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_153850_result.xml
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_153850_resultBin.bin
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_154314_result.xml
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_154314_resultBin.bin
│   │           │   ├── TraceCKResult_DDR_Case_Check_112814_154731_result.xml
│   │           │   └── TraceCKResult_DDR_Case_Check_112814_154731_resultBin.bin
│   │           ├── Options.xml
│   │           ├── ResourceProfile_PSI.log
│   │           ├── allegro.jrl
│   │           ├── allegro.jrl,1
│   │           ├── allegro_S03816.6_AllegroMiniDump.dmp
│   │           ├── batch_drc.log
│   │           ├── devices.dml
│   │           ├── extract.log
│   │           ├── extract.log,1
│   │           ├── extract.log,2
│   │           ├── extract.log,3
│   │           ├── highlight_sov.log
│   │           ├── interconn.iml
│   │           ├── interconn.iml,1
│   │           ├── libraryReuseCount.txt
│   │           ├── signoise.log
│   │           ├── signoise.log,1
│   │           ├── signoise.log,2
│   │           ├── signoise.run
│   │           │   ├── case1
│   │           │   │   ├── sigsimcntl.dat
│   │           │   │   └── sigsimres.dat
│   │           │   ├── cases.cfg
│   │           │   └── cases.cfg,1
│   │           ├── sigxp.jrl
│   │           └── sigxp.run
│   │               ├── case0
│   │               │   └── waveforms
│   │               ├── cases.cfg
│   │               └── cases.cfg,1
│   ├── Chapter2
│   │   ├── Lab1_SelfImp
│   │   │   ├── Completed
│   │   │   │   ├── BBSResult_powersi_imp_simulation_distributedport_open_120214_114812_2920_BBS
│   │   │   │   ├── CPU_Info.log
│   │   │   │   ├── OWindowContents_PSI.log
│   │   │   │   ├── Options.xml
│   │   │   │   ├── PowerSIRunTimeError.log
│   │   │   │   ├── Resonance.dat
│   │   │   │   ├── ResourceProfile_PSI.log
│   │   │   │   ├── Sigrity_Default_Library
│   │   │   │   │   ├── MetaDataConfig.XML
│   │   │   │   │   ├── S-Parameter File
│   │   │   │   │   │   ├── grm033r60g224me15.s2p
│   │   │   │   │   │   ├── grm033r60j104ke19.s2p
│   │   │   │   │   │   ├── grm033r60j223ke01.s2p
│   │   │   │   │   │   ├── grm033r60j333ke01.s2p
│   │   │   │   │   │   ├── grm033r61a103ka01.s2p
│   │   │   │   │   │   ├── grm155r60j105ke19.s2p
│   │   │   │   │   │   ├── grm155r60j224ke01.s2p
│   │   │   │   │   │   ├── grm155r60j474ke19.s2p
│   │   │   │   │   │   ├── grm155r61a104ka01.s2p
│   │   │   │   │   │   ├── grm155r61a334ke15.s2p
│   │   │   │   │   │   ├── grm155r71a333ka01.s2p
│   │   │   │   │   │   ├── grm155r71e103ka01.s2p
│   │   │   │   │   │   ├── grm155r71e473ka88.s2p
│   │   │   │   │   │   ├── grm155r71h223ka12.s2p
│   │   │   │   │   │   ├── grm188r60j106me47.log
│   │   │   │   │   │   ├── grm188r60j106me47.s2p
│   │   │   │   │   │   ├── grm188r60j225ke19.s2p
│   │   │   │   │   │   ├── grm188r60j475ke19.s2p
│   │   │   │   │   │   ├── grm188r61a105ka61.s2p
│   │   │   │   │   │   ├── grm21br60j106ke01.s2p
│   │   │   │   │   │   ├── grm21br60j226me39.s2p
│   │   │   │   │   │   ├── grm31cr60j107me39.s2p
│   │   │   │   │   │   ├── grm31cr60j226ke19.s2p
│   │   │   │   │   │   ├── grm31cr60j476me19.s2p
│   │   │   │   │   │   ├── grm32dr60j336me19.s2p
│   │   │   │   │   │   ├── grm32er60j107me20.s2p
│   │   │   │   │   │   ├── grm32er61a476ke20.s2p
│   │   │   │   │   │   ├── grm32er61c476me15.s2p
│   │   │   │   │   │   └── grm32er61e226ke15.s2p
│   │   │   │   │   ├── Sigrity_Default_Library.amm
│   │   │   │   │   ├── Sigrity_Default_Library.bin
│   │   │   │   │   └── Sigrity_Default_Library.xml
│   │   │   │   ├── Trace_Pad_Library
│   │   │   │   │   ├── powersi_imp_simulation_distributedport_open_TracePadLibrary.log
│   │   │   │   │   ├── powersi_imp_simulation_distributedport_open_lossy.lib
│   │   │   │   │   ├── powersi_imp_simulation_lumpedport_open_TracePadLibrary.log
│   │   │   │   │   ├── powersi_imp_simulation_lumpedport_open_lossy.lib
│   │   │   │   │   ├── powersi_imp_simulation_lumpedport_short_TracePadLibrary.log
│   │   │   │   │   └── powersi_imp_simulation_lumpedport_short_lossy.lib
│   │   │   │   ├── powersi_imp_simulation_distributedport_open.AMMM
│   │   │   │   ├── powersi_imp_simulation_distributedport_open.spd
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_114220_5784.bnp
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_114220_5784.ckt
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_114812_2920.bnp
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_114812_2920.ckt
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_114812_2920_BBS.bnp
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_114812_2920_BBS.log
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_115903_2920.bnp
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_115903_2920.ckt
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_115954_2920.bnp
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_115954_2920.ckt
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_135625_4076.bnp
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_135625_4076.ckt
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_140108_4076.bnp
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120214_140108_4076.ckt
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120314_152743_5352.bnp
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_120314_152743_5352.ckt
│   │   │   │   ├── powersi_imp_simulation_distributedport_open_PowerSI.err
│   │   │   │   ├── powersi_imp_simulation_lumpedport_open.AMMM
│   │   │   │   ├── powersi_imp_simulation_lumpedport_open.spd
│   │   │   │   ├── powersi_imp_simulation_lumpedport_open_120214_114516_5792.bnp
│   │   │   │   ├── powersi_imp_simulation_lumpedport_open_120214_114516_5792.ckt
│   │   │   │   ├── powersi_imp_simulation_lumpedport_open_120214_140358_4076.bnp
│   │   │   │   ├── powersi_imp_simulation_lumpedport_open_120214_140358_4076.ckt
│   │   │   │   ├── powersi_imp_simulation_lumpedport_open_120214_143655_4076.bnp
│   │   │   │   ├── powersi_imp_simulation_lumpedport_open_120214_143655_4076.ckt
│   │   │   │   ├── powersi_imp_simulation_lumpedport_open_PowerSI.err
│   │   │   │   ├── powersi_imp_simulation_lumpedport_short.AMMM
│   │   │   │   ├── powersi_imp_simulation_lumpedport_short.spd
│   │   │   │   ├── powersi_imp_simulation_lumpedport_short_120214_144735_4076.bnp
│   │   │   │   ├── powersi_imp_simulation_lumpedport_short_120214_144735_4076.ckt
│   │   │   │   ├── powersi_imp_simulation_lumpedport_short_120214_145333_924.bnp
│   │   │   │   ├── powersi_imp_simulation_lumpedport_short_120214_145333_924.ckt
│   │   │   │   ├── powersi_imp_simulation_lumpedport_short_120314_152506_5352.bnp
│   │   │   │   ├── powersi_imp_simulation_lumpedport_short_120314_152506_5352.ckt
│   │   │   │   └── powersi_imp_simulation_lumpedport_short_PowerSI.err
│   │   │   └── Original
│   │   │       ├── CPU_Info.log
│   │   │       ├── OWindowContents_PSI.log
│   │   │       ├── Options.xml
│   │   │       ├── PowerSIRunTimeError.log
│   │   │       ├── ResourceProfile_PSI.log
│   │   │       ├── Sigrity_Default_Library
│   │   │       │   ├── MetaDataConfig.XML
│   │   │       │   ├── S-Parameter File
│   │   │       │   │   ├── grm033r60g224me15.s2p
│   │   │       │   │   ├── grm033r60j104ke19.s2p
│   │   │       │   │   ├── grm033r60j223ke01.s2p
│   │   │       │   │   ├── grm033r60j333ke01.s2p
│   │   │       │   │   ├── grm033r61a103ka01.s2p
│   │   │       │   │   ├── grm155r60j105ke19.s2p
│   │   │       │   │   ├── grm155r60j224ke01.s2p
│   │   │       │   │   ├── grm155r60j474ke19.s2p
│   │   │       │   │   ├── grm155r61a104ka01.s2p
│   │   │       │   │   ├── grm155r61a334ke15.s2p
│   │   │       │   │   ├── grm155r71a333ka01.s2p
│   │   │       │   │   ├── grm155r71e103ka01.s2p
│   │   │       │   │   ├── grm155r71e473ka88.s2p
│   │   │       │   │   ├── grm155r71h223ka12.s2p
│   │   │       │   │   ├── grm188r60j106me47.log
│   │   │       │   │   ├── grm188r60j106me47.s2p
│   │   │       │   │   ├── grm188r60j225ke19.s2p
│   │   │       │   │   ├── grm188r60j475ke19.s2p
│   │   │       │   │   ├── grm188r61a105ka61.s2p
│   │   │       │   │   ├── grm21br60j106ke01.s2p
│   │   │       │   │   ├── grm21br60j226me39.s2p
│   │   │       │   │   ├── grm31cr60j107me39.s2p
│   │   │       │   │   ├── grm31cr60j226ke19.s2p
│   │   │       │   │   ├── grm31cr60j476me19.s2p
│   │   │       │   │   ├── grm32dr60j336me19.s2p
│   │   │       │   │   ├── grm32er60j107me20.s2p
│   │   │       │   │   ├── grm32er61a476ke20.s2p
│   │   │       │   │   ├── grm32er61c476me15.s2p
│   │   │       │   │   └── grm32er61e226ke15.s2p
│   │   │       │   ├── Sigrity_Default_Library.amm
│   │   │       │   ├── Sigrity_Default_Library.bin
│   │   │       │   └── Sigrity_Default_Library.xml
│   │   │       ├── Trace_Pad_Library
│   │   │       │   ├── powersi_imp_simulation_TracePadLibrary.log
│   │   │       │   └── powersi_imp_simulation_lossy.lib
│   │   │       ├── powersi_imp_simulation.AMMM
│   │   │       ├── powersi_imp_simulation.spd
│   │   │       ├── powersi_imp_simulation_120214_113514_5468.bnp
│   │   │       ├── powersi_imp_simulation_120214_113514_5468.ckt
│   │   │       ├── powersi_imp_simulation_120214_121850_3576.bnp
│   │   │       ├── powersi_imp_simulation_120214_121850_3576.ckt
│   │   │       └── powersi_imp_simulation_PowerSI.err
│   │   ├── Lab2_Resonance
│   │   │   ├── Completed
│   │   │   │   ├── 1_wo_add_cap
│   │   │   │   │   ├── CPU_Info.log
│   │   │   │   │   ├── OWindowContents_PSI.log
│   │   │   │   │   ├── Options.xml
│   │   │   │   │   ├── PowerSIRunTimeError.log
│   │   │   │   │   ├── Resonance.dat
│   │   │   │   │   ├── ResourceProfile_PSI.log
│   │   │   │   │   ├── Trace_Pad_Library
│   │   │   │   │   │   ├── powersi_resonance_TracePadLibrary.log
│   │   │   │   │   │   ├── powersi_resonance_lossy.lib
│   │   │   │   │   │   └── powersi_resonance_lossy__13.0.2.0.lib
│   │   │   │   │   ├── powersi_resonance.spd
│   │   │   │   │   └── powersi_resonance_PowerSI.err
│   │   │   │   └── 2_w_add_cap
│   │   │   │       ├── CPU_Info.log
│   │   │   │       ├── OWindowContents_PSI.log
│   │   │   │       ├── Options.xml
│   │   │   │       ├── PowerSIRunTimeError.log
│   │   │   │       ├── Resonance.dat
│   │   │   │       ├── ResourceProfile_PSI.log
│   │   │   │       ├── Trace_Pad_Library
│   │   │   │       │   ├── powersi_resonance_TracePadLibrary.log
│   │   │   │       │   ├── powersi_resonance_lossy.lib
│   │   │   │       │   └── powersi_resonance_lossy__13.0.2.0.lib
│   │   │   │       ├── powersi_resonance.spd
│   │   │   │       └── powersi_resonance_PowerSI.err
│   │   │   └── Original
│   │   │       ├── CPU_Info.log
│   │   │       ├── OWindowContents_PSI.log
│   │   │       ├── Options.xml
│   │   │       ├── OverlappedTraces.txt
│   │   │       ├── PowerSIRunTimeError.log
│   │   │       ├── Resonance.dat
│   │   │       ├── ResourceProfile_PSI.log
│   │   │       ├── Trace_Pad_Library
│   │   │       │   ├── powersi_resonance_TracePadLibrary.log
│   │   │       │   └── powersi_resonance_lossy.lib
│   │   │       ├── allegro.jrl
│   │   │       ├── powersi_resonance.spd
│   │   │       └── powersi_resonance_PowerSI.err
│   │   ├── Lab3_SSN
│   │   │   ├── Completed
│   │   │   │   ├── GRM0225C1C2R2WD05_series.s2p
│   │   │   │   ├── GRM022R60J104ME15_series.s2p
│   │   │   │   ├── GRM21BR60J475KA11_series.s2p
│   │   │   │   ├── IBIS
│   │   │   │   │   ├── J1_ctrl_IO_Copy1.ibs
│   │   │   │   │   ├── U0_dram_IO_Copy1.ibs
│   │   │   │   │   ├── U1_U0_dram_IO_Copy1.ibs
│   │   │   │   │   ├── U2_U0_dram_IO_Copy1.ibs
│   │   │   │   │   ├── U3_U0_dram_IO_Copy1.ibs
│   │   │   │   │   ├── U4_U0_dram_IO_Copy1.ibs
│   │   │   │   │   ├── U5_U0_dram_IO_Copy1.ibs
│   │   │   │   │   ├── U6_U0_dram_IO_Copy1.ibs
│   │   │   │   │   └── U7_U0_dram_IO_Copy1.ibs
│   │   │   │   ├── SODIMM_DDR_SSN.AMMM
│   │   │   │   ├── SODIMM_DDR_SSN.spd
│   │   │   │   ├── SODIMM_DDR_SSN_DDR
│   │   │   │   │   ├── Sim1_L3
│   │   │   │   │   │   ├── SODIMM_DDR_SSN_DDR_L3.xml
│   │   │   │   │   │   └── SODIMM_DDR_SSN_DDR_L3_V.CUR
│   │   │   │   │   ├── Sim2_L3
│   │   │   │   │   │   └── NET
│   │   │   │   │   │       ├── GRM0225C1C2R2WD05_series.s2p
│   │   │   │   │   │       ├── GRM022R60J104ME15_series.s2p
│   │   │   │   │   │       ├── GRM21BR60J475KA11_series.s2p
│   │   │   │   │   │       ├── IBIS
│   │   │   │   │   │       │   ├── J1_ctrl_IO_Copy1.ibs
│   │   │   │   │   │       │   ├── U0_dram_IO_Copy1.ibs
│   │   │   │   │   │       │   ├── U1_U0_dram_IO_Copy1.ibs
│   │   │   │   │   │       │   ├── U2_U0_dram_IO_Copy1.ibs
│   │   │   │   │   │       │   ├── U3_U0_dram_IO_Copy1.ibs
│   │   │   │   │   │       │   ├── U4_U0_dram_IO_Copy1.ibs
│   │   │   │   │   │       │   ├── U5_U0_dram_IO_Copy1.ibs
│   │   │   │   │   │       │   ├── U6_U0_dram_IO_Copy1.ibs
│   │   │   │   │   │       │   └── U7_U0_dram_IO_Copy1.ibs
│   │   │   │   │   │       ├── SODIMM_DDR_SSN.spd
│   │   │   │   │   │       ├── SODIMM_DDR_SSN_spdsim.err
│   │   │   │   │   │       ├── SODIMM_DDR_SSN_spdsim_goldenparser.log
│   │   │   │   │   │       ├── Trace_Pad_Library
│   │   │   │   │   │       │   ├── SODIMM_DDR_SSN_TracePadLibrary.log
│   │   │   │   │   │       │   └── SODIMM_DDR_SSN_lossy.lib
│   │   │   │   │   │       ├── dc.out
│   │   │   │   │   │       ├── execution_time.log
│   │   │   │   │   │       ├── memory_time.log
│   │   │   │   │   │       ├── profile_spd.log
│   │   │   │   │   │       ├── progress.txt
│   │   │   │   │   │       └── trace_extraction.log
│   │   │   │   │   └── Sim3_L3
│   │   │   │   │       └── NET
│   │   │   │   │           ├── SODIMM_DDR_SSN_120914_094725_V.CUR
│   │   │   │   │           └── SODIMM_DDR_SSN_V_DDRResult.xml
│   │   │   │   ├── SODIMM_DDR_SSN_GENERATOR.err
│   │   │   │   ├── ctrl_IO.ibs
│   │   │   │   └── dram_IO.ibs
│   │   │   └── Original
│   │   │       ├── IBIS
│   │   │       │   ├── J1_ctrl_IO_Copy2.ibs
│   │   │       │   ├── U0_dram_IO_Copy2.ibs
│   │   │       │   ├── U1_U0_dram_IO_Copy2.ibs
│   │   │       │   ├── U2_U0_dram_IO_Copy2.ibs
│   │   │       │   ├── U3_U0_dram_IO_Copy2.ibs
│   │   │       │   ├── U4_U0_dram_IO_Copy2.ibs
│   │   │       │   ├── U5_U0_dram_IO_Copy2.ibs
│   │   │       │   ├── U6_U0_dram_IO_Copy2.ibs
│   │   │       │   └── U7_U0_dram_IO_Copy2.ibs
│   │   │       ├── SODIMM_DDR_SSN.spd
│   │   │       ├── ctrl_IO.ibs
│   │   │       └── dram_IO.ibs
│   │   ├── Lab5_IRdrop
│   │   │   ├── Lab5-1
│   │   │   │   ├── Completed
│   │   │   │   │   ├── IR-drop_withoutOPI.pdcx
│   │   │   │   │   ├── IR-drop_withoutOPI_Options.xml
│   │   │   │   │   ├── IR_PCB_without_OPI.err
│   │   │   │   │   └── IR_PCB_without_OPI.spd
│   │   │   │   └── Original
│   │   │   │       └── IR_PCB_without_OPI.spd
│   │   │   └── Lab5-2
│   │   │       ├── Completed
│   │   │       │   ├── IR-drop_with_OPI.pdcx
│   │   │       │   ├── IR-drop_with_OPI_3DVia.txt
│   │   │       │   ├── IR-drop_with_OPI_Options.xml
│   │   │       │   ├── IR_PCB_with_OPI.err
│   │   │       │   ├── IR_PCB_with_OPI.spd
│   │   │       │   └── adpMesh_IR-drop_with_OPI13.0.2.01241.txt
│   │   │       └── Original
│   │   │           └── IR_PCB_with_OPI.spd
│   │   ├── Lab6_ET_Co-simulation
│   │   │   ├── Completed
│   │   │   │   ├── ET_Co-simulation.pdcx
│   │   │   │   ├── ET_Co-simulation_Options.xml
│   │   │   │   ├── IR_PCB_with_OPI.err
│   │   │   │   ├── IR_PCB_with_OPI.spd
│   │   │   │   └── Material_Models_Default.txt
│   │   │   └── Original
│   │   │       ├── IR_PCB_with_OPI.spd
│   │   │       └── Material_Models_Default.txt
│   │   ├── Lab7_PI_Base
│   │   │   ├── Completed
│   │   │   │   ├── 0603rf_wv_12d.dra
│   │   │   │   ├── 0603rf_wv_12d.psm
│   │   │   │   ├── 0805rf_wv_12d.dra
│   │   │   │   ├── 0805rf_wv_12d.psm
│   │   │   │   ├── 40x30.pad
│   │   │   │   ├── 60x50.pad
│   │   │   │   ├── IRdrop.brd
│   │   │   │   ├── IRdrop.log
│   │   │   │   ├── IRdrop.pdcx
│   │   │   │   ├── Run.Log
│   │   │   │   ├── allegro.jrl
│   │   │   │   ├── cds.lib
│   │   │   │   ├── conn50.psm
│   │   │   │   ├── demo.cpm
│   │   │   │   ├── demo2.brd
│   │   │   │   ├── devices.dml
│   │   │   │   ├── extract.log
│   │   │   │   ├── extract.log,1
│   │   │   │   ├── extract.log,2
│   │   │   │   ├── extract.log,3
│   │   │   │   ├── flatlib
│   │   │   │   │   └── model_body
│   │   │   │   │       └── discret
│   │   │   │   │           └── c
│   │   │   │   │               ├── atdm
│   │   │   │   │               │   ├── ged_body.log
│   │   │   │   │               │   └── ged_body.sta
│   │   │   │   │               ├── chips
│   │   │   │   │               │   ├── chips.prt
│   │   │   │   │               │   └── master.tag
│   │   │   │   │               ├── doc_1
│   │   │   │   │               │   └── page1.csa
│   │   │   │   │               ├── entity
│   │   │   │   │               │   ├── master.tag
│   │   │   │   │               │   ├── pc.db
│   │   │   │   │               │   ├── verilog.v
│   │   │   │   │               │   ├── vhdl.vhd
│   │   │   │   │               │   └── vlog004u.sir
│   │   │   │   │               ├── part_table
│   │   │   │   │               │   ├── master.tag
│   │   │   │   │               │   └── part_table.ptf
│   │   │   │   │               └── sym_1
│   │   │   │   │                   ├── master.tag
│   │   │   │   │                   └── symbol.css
│   │   │   │   ├── pad60cir36d.pad
│   │   │   │   ├── pad60cir42d.pad
│   │   │   │   ├── pdns_segsymbol.dra
│   │   │   │   ├── pdns_segsymbol.ssm
│   │   │   │   ├── pdns_shapesymbol.dra
│   │   │   │   ├── pdns_shapesymbol.ssm
│   │   │   │   ├── pfe.run
│   │   │   │   │   └── demo2
│   │   │   │   │       ├── GRM1885C2A121JA01_series.s2p
│   │   │   │   │       ├── PFEDecapDevice.xml
│   │   │   │   │       ├── PFEDecapLib.xml
│   │   │   │   │       ├── cycle.msm
│   │   │   │   │       ├── cycle.msm,1
│   │   │   │   │       ├── demo2.singlenode.sim
│   │   │   │   │       ├── demo2.singlenode.sim,1
│   │   │   │   │       ├── demo2.singlenode.tlsim
│   │   │   │   │       ├── demo2.singlenode.tlsim.inc
│   │   │   │   │       ├── net.dl
│   │   │   │   │       ├── net.dl,1
│   │   │   │   │       ├── net.dst
│   │   │   │   │       ├── net.dst,1
│   │   │   │   │       ├── tlsim.log
│   │   │   │   │       ├── tlsim.log,1
│   │   │   │   │       ├── tlsim.log,2
│   │   │   │   │       └── tlsim.log,3
│   │   │   │   ├── recchipn_0201c_smr.psm
│   │   │   │   ├── recchipn_0402_smr.psm
│   │   │   │   ├── signoise.log
│   │   │   │   ├── signoise.run
│   │   │   │   │   ├── case1
│   │   │   │   │   ├── cases.cfg
│   │   │   │   │   └── cases.cfg,1
│   │   │   │   ├── smd50_25.pad
│   │   │   │   ├── soic14.dra
│   │   │   │   ├── soic14.psm
│   │   │   │   ├── testcds_ptf
│   │   │   │   │   ├── part_table.ptf
│   │   │   │   │   └── selecta.ptf
│   │   │   │   └── via1.pad
│   │   │   └── Original
│   │   │       ├── 0603rf_wv_12d.dra
│   │   │       ├── 0603rf_wv_12d.psm
│   │   │       ├── 0805rf_wv_12d.dra
│   │   │       ├── 0805rf_wv_12d.psm
│   │   │       ├── 40x30.pad
│   │   │       ├── 60x50.pad
│   │   │       ├── IRdrop.SAV
│   │   │       ├── IRdrop.brd
│   │   │       ├── IRdrop.err
│   │   │       ├── IRdrop.log
│   │   │       ├── IRdrop.pdcx
│   │   │       ├── IRdrop_Options.xml
│   │   │       ├── IRdrop_Result_Files_120914_152631
│   │   │       │   ├── IRdrop_ResourceProfile.log
│   │   │       │   └── IRdrop_SimulationResult.xml
│   │   │       ├── IRdrop_Result_Files_120914_152909
│   │   │       │   ├── IRdrop_ResourceProfile.log
│   │   │       │   └── IRdrop_SimulationResult.xml
│   │   │       ├── IRdrop_Result_Files_120914_153601
│   │   │       │   ├── IRdrop_ResourceProfile.log
│   │   │       │   └── IRdrop_SimulationResult.xml
│   │   │       ├── Run.Log
│   │   │       ├── WrongNodeNumber.inf
│   │   │       ├── adw
│   │   │       │   └── shoppingCart.xml
│   │   │       ├── allegro.jrl
│   │   │       ├── allegro.jrl,1
│   │   │       ├── allegro_S03816.6_AllegroMiniDump.dmp
│   │   │       ├── cds.lib
│   │   │       ├── conn50.psm
│   │   │       ├── cycle.msm
│   │   │       ├── cycle.msm,1
│   │   │       ├── demo.cpm
│   │   │       ├── demo2.brd
│   │   │       ├── devices.dml
│   │   │       ├── extract.log
│   │   │       ├── extract.log,1
│   │   │       ├── extract.log,2
│   │   │       ├── extract.log,3
│   │   │       ├── flatlib
│   │   │       │   └── model_body
│   │   │       │       └── discret
│   │   │       │           └── c
│   │   │       │               ├── atdm
│   │   │       │               │   ├── ged_body.log
│   │   │       │               │   └── ged_body.sta
│   │   │       │               ├── chips
│   │   │       │               │   ├── chips.prt
│   │   │       │               │   └── master.tag
│   │   │       │               ├── doc_1
│   │   │       │               │   └── page1.csa
│   │   │       │               ├── entity
│   │   │       │               │   ├── master.tag
│   │   │       │               │   ├── pc.db
│   │   │       │               │   ├── verilog.v
│   │   │       │               │   ├── vhdl.vhd
│   │   │       │               │   └── vlog004u.sir
│   │   │       │               ├── part_table
│   │   │       │               │   ├── master.tag
│   │   │       │               │   └── part_table.ptf
│   │   │       │               └── sym_1
│   │   │       │                   ├── master.tag
│   │   │       │                   └── symbol.css
│   │   │       ├── net.dl
│   │   │       ├── net.dl,1
│   │   │       ├── net.dst
│   │   │       ├── net.dst,1
│   │   │       ├── pad60cir36d.pad
│   │   │       ├── pad60cir42d.pad
│   │   │       ├── pdns_segsymbol.dra
│   │   │       ├── pdns_segsymbol.ssm
│   │   │       ├── pdns_shapesymbol.dra
│   │   │       ├── pdns_shapesymbol.ssm
│   │   │       ├── pfe.run
│   │   │       │   └── demo2
│   │   │       │       ├── PFEDecapDevice.xml
│   │   │       │       ├── PFEDecapLib.xml
│   │   │       │       ├── cycle.msm
│   │   │       │       ├── cycle.msm,1
│   │   │       │       ├── demo2.singlenode.sim
│   │   │       │       ├── demo2.singlenode.sim,1
│   │   │       │       ├── demo2.singlenode.tlsim
│   │   │       │       ├── demo2.singlenode.tlsim.inc
│   │   │       │       ├── net.dl
│   │   │       │       ├── net.dl,1
│   │   │       │       ├── net.dst
│   │   │       │       ├── net.dst,1
│   │   │       │       ├── tlsim.log
│   │   │       │       ├── tlsim.log,1
│   │   │       │       ├── tlsim.log,2
│   │   │       │       └── tlsim.log,3
│   │   │       ├── recchipn_0201c_smr.psm
│   │   │       ├── recchipn_0402_smr.psm
│   │   │       ├── signoise.log
│   │   │       ├── signoise.run
│   │   │       │   ├── case1
│   │   │       │   ├── cases.cfg
│   │   │       │   └── cases.cfg,1
│   │   │       ├── smd50_25.pad
│   │   │       ├── soic14.dra
│   │   │       ├── soic14.psm
│   │   │       ├── temp
│   │   │       ├── testcds_ptf
│   │   │       │   ├── part_table.ptf
│   │   │       │   └── selecta.ptf
│   │   │       ├── tlsim.log
│   │   │       ├── tlsim.log,1
│   │   │       ├── tlsim.log,2
│   │   │       ├── tlsim.log,3
│   │   │       └── via1.pad
│   │   ├── Readme.txt
│   │   └── lab4_OPI
│   │       ├── lab4_1
│   │       │   ├── Completed
│   │       │   │   ├── DecapLib_Sample.amm
│   │       │   │   ├── DecapLib_Sample.xml
│   │       │   │   ├── Loop_Inductance_Sample.opix
│   │       │   │   ├── Loop_Inductance_SampleVCC1$GND.bnp
│   │       │   │   ├── Loop_Inductance_SampleVCC2$GND.bnp
│   │       │   │   ├── Loop_Inductance_Sample_OPI.err
│   │       │   │   ├── Loop_Inductance_Sample_OPI.log
│   │       │   │   ├── Loop_Inductance_Sample_WhatIfResult.dat
│   │       │   │   ├── Options.xml
│   │       │   │   ├── S-Parameter File
│   │       │   │   │   ├── grm155r60j105ke19.s2p
│   │       │   │   │   ├── grm155r61a224ke19.s2p
│   │       │   │   │   ├── grm155r61a474ke15.s2p
│   │       │   │   │   ├── grm155r71a473ka01.s2p
│   │       │   │   │   ├── grm155r71c103ka01.s2p
│   │       │   │   │   ├── grm155r71c104ka88.s2p
│   │       │   │   │   ├── grm155r71c223ka01.s2p
│   │       │   │   │   ├── grm188r60j106me47.s2p
│   │       │   │   │   ├── grm188r60j225ke01.s2p
│   │       │   │   │   ├── grm188r60j474ka01.s2p
│   │       │   │   │   ├── grm188r60j475ke19.s2p
│   │       │   │   │   └── grm188r71c105ka12.s2p
│   │       │   │   ├── demo_2PwrNets.spd
│   │       │   │   └── demo_2PwrNets_OptimizePI.err
│   │       │   └── Original
│   │       │       ├── DecapLib_Sample.xml
│   │       │       ├── S-Parameter File
│   │       │       │   ├── grm155r60j105ke19.s2p
│   │       │       │   ├── grm155r61a224ke19.s2p
│   │       │       │   ├── grm155r61a474ke15.s2p
│   │       │       │   ├── grm155r71a473ka01.s2p
│   │       │       │   ├── grm155r71c103ka01.s2p
│   │       │       │   ├── grm155r71c104ka88.s2p
│   │       │       │   ├── grm155r71c223ka01.s2p
│   │       │       │   ├── grm188r60j106me47.s2p
│   │       │       │   ├── grm188r60j225ke01.s2p
│   │       │       │   ├── grm188r60j474ka01.s2p
│   │       │       │   ├── grm188r60j475ke19.s2p
│   │       │       │   └── grm188r71c105ka12.s2p
│   │       │       └── demo_2PwrNets.spd
│   │       ├── lab4_2
│   │       │   ├── Completed
│   │       │   │   ├── Options.xml
│   │       │   │   ├── S-Parameter File
│   │       │   │   │   ├── grm155r60j105ke19.s2p
│   │       │   │   │   ├── grm155r61a224ke19.s2p
│   │       │   │   │   ├── grm155r61a474ke15.s2p
│   │       │   │   │   ├── grm155r71a473ka01.s2p
│   │       │   │   │   ├── grm155r71c103ka01.s2p
│   │       │   │   │   ├── grm155r71c104ka88.s2p
│   │       │   │   │   ├── grm155r71c223ka01.s2p
│   │       │   │   │   ├── grm188r60j106me47.s2p
│   │       │   │   │   ├── grm188r60j225ke01.s2p
│   │       │   │   │   ├── grm188r60j474ka01.s2p
│   │       │   │   │   ├── grm188r60j475ke19.s2p
│   │       │   │   │   └── grm188r71c105ka12.s2p
│   │       │   │   ├── demo
│   │       │   │   │   ├── demo_Device_Optimization_OptimumDefault_Scheme50_Decap_Report.txt
│   │       │   │   │   ├── demo_Device_Optimization_OptimumDefault_Scheme50_without_VRM_Ports.BNP
│   │       │   │   │   └── demo_Device_Optimization_Placements.xls
│   │       │   │   ├── demo.bnp
│   │       │   │   ├── demo.opix
│   │       │   │   ├── demo_OPI.err
│   │       │   │   ├── demo_OPI.log
│   │       │   │   ├── demo_OPI.spd
│   │       │   │   ├── demo_OPI_OptimizePI.err
│   │       │   │   ├── demo_Optimization.restart
│   │       │   │   ├── demo_OptimizationResult.dat
│   │       │   │   ├── demo_decap_library.amm
│   │       │   │   └── demo_decap_library.xml
│   │       │   └── Original
│   │       │       ├── CPU_Info.log
│   │       │       ├── OWindowContents_PSI.log
│   │       │       ├── Options.xml
│   │       │       ├── S-Parameter File
│   │       │       │   ├── grm155r60j105ke19.s2p
│   │       │       │   ├── grm155r61a224ke19.s2p
│   │       │       │   ├── grm155r61a474ke15.s2p
│   │       │       │   ├── grm155r71a473ka01.s2p
│   │       │       │   ├── grm155r71c103ka01.s2p
│   │       │       │   ├── grm155r71c104ka88.s2p
│   │       │       │   ├── grm155r71c223ka01.s2p
│   │       │       │   ├── grm188r60j106me47.s2p
│   │       │       │   ├── grm188r60j225ke01.s2p
│   │       │       │   ├── grm188r60j474ka01.s2p
│   │       │       │   ├── grm188r60j475ke19.s2p
│   │       │       │   └── grm188r71c105ka12.s2p
│   │       │       ├── demo_OPI.spd
│   │       │       ├── demo_OPI_OptimizePI.err
│   │       │       ├── demo_decap_library.amm
│   │       │       ├── demo_decap_library.xml
│   │       │       ├── pre
│   │       │       │   ├── pre_Device_Optimization_Placements.xls
│   │       │       │   ├── pre_Optimization_OptimumDefault_Scheme70_Decap_Report.txt
│   │       │       │   └── pre_Optimization_OptimumDefault_Scheme70_without_VRM_Ports.BNP
│   │       │       ├── pre.opix
│   │       │       ├── pre.spd
│   │       │       ├── pre_OPI.err
│   │       │       ├── pre_OPI.log
│   │       │       ├── pre_OptimizationResult.dat
│   │       │       ├── pre_OptimizePI.err
│   │       │       ├── ray_cheap
│   │       │       │   ├── ray_cheap_Device_Optimization_OptimumDefault_Scheme1_Decap_Report.txt
│   │       │       │   ├── ray_cheap_Device_Optimization_OptimumDefault_Scheme1_without_VRM_Ports.BNP
│   │       │       │   └── ray_cheap_Device_Optimization_Placements.xls
│   │       │       ├── ray_cheap.bnp
│   │       │       ├── ray_cheap.opix
│   │       │       ├── ray_cheap_OPI.err
│   │       │       ├── ray_cheap_OPI.log
│   │       │       ├── ray_cheap_Optimization.restart
│   │       │       ├── ray_cheap_OptimizationResult.dat
│   │       │       └── sGlobalZ_S.s6p
│   │       ├── lab4_3
│   │       │   ├── Completed
│   │       │   │   ├── CPU_Info.log
│   │       │   │   ├── DecapLib_Demo.amm
│   │       │   │   ├── DecapLib_Demo.xml
│   │       │   │   ├── Demo_Pre_layout.opix
│   │       │   │   ├── Demo_Pre_layout.spd
│   │       │   │   ├── Demo_Pre_layout_OPI.err
│   │       │   │   ├── Demo_Pre_layout_OPI.log
│   │       │   │   ├── Demo_Pre_layout_OptimizationResult.dat
│   │       │   │   ├── Demo_Pre_layout_OptimizePI.err
│   │       │   │   ├── Options.xml
│   │       │   │   ├── S-Parameter File
│   │       │   │   │   ├── grm155r60j105ke19.s2p
│   │       │   │   │   ├── grm155r61a224ke19.s2p
│   │       │   │   │   ├── grm155r61a474ke15.s2p
│   │       │   │   │   ├── grm155r71a473ka01.s2p
│   │       │   │   │   ├── grm155r71c103ka01.s2p
│   │       │   │   │   ├── grm155r71c104ka88.s2p
│   │       │   │   │   ├── grm155r71c223ka01.s2p
│   │       │   │   │   ├── grm188r60j106me47.s2p
│   │       │   │   │   └── grm21br60j226me39.s2p
│   │       │   │   └── sGlobalZ_S.s6p
│   │       │   └── Original
│   │       │       ├── DecapLib_Demo.xml
│   │       │       ├── Demo_Pre_layout.spd
│   │       │       └── S-Parameter File
│   │       │           ├── grm155r60j105ke19.s2p
│   │       │           ├── grm155r61a224ke19.s2p
│   │       │           ├── grm155r61a474ke15.s2p
│   │       │           ├── grm155r71a473ka01.s2p
│   │       │           ├── grm155r71c103ka01.s2p
│   │       │           ├── grm155r71c104ka88.s2p
│   │       │           ├── grm155r71c223ka01.s2p
│   │       │           ├── grm188r60j106me47.s2p
│   │       │           └── grm21br60j226me39.s2p
│   │       └── lab4_4
│   │           ├── Completed
│   │           │   ├── Options.xml
│   │           │   ├── S-Parameter File
│   │           │   │   ├── grm155r60j105ke19.s2p
│   │           │   │   ├── grm155r61a224ke19.s2p
│   │           │   │   ├── grm155r61a474ke15.s2p
│   │           │   │   ├── grm155r71a473ka01.s2p
│   │           │   │   ├── grm155r71c103ka01.s2p
│   │           │   │   ├── grm155r71c104ka88.s2p
│   │           │   │   ├── grm155r71c223ka01.s2p
│   │           │   │   ├── grm188r60j106me47.s2p
│   │           │   │   ├── grm188r60j225ke01.s2p
│   │           │   │   ├── grm188r60j474ka01.s2p
│   │           │   │   ├── grm188r60j475ke19.s2p
│   │           │   │   └── grm188r71c105ka12.s2p
│   │           │   ├── demo.bnp
│   │           │   ├── demo.opix
│   │           │   ├── demo_OPI.err
│   │           │   ├── demo_OPI.log
│   │           │   ├── demo_OPI.spd
│   │           │   ├── demo_OptimizationResult.dat
│   │           │   ├── demo_WhatIfResult.dat
│   │           │   ├── demo_decap_library.amm
│   │           │   └── demo_decap_library.xml
│   │           └── Original
│   │               ├── S-Parameter File
│   │               │   ├── grm155r60j105ke19.s2p
│   │               │   ├── grm155r61a224ke19.s2p
│   │               │   ├── grm155r61a474ke15.s2p
│   │               │   ├── grm155r71a473ka01.s2p
│   │               │   ├── grm155r71c103ka01.s2p
│   │               │   ├── grm155r71c104ka88.s2p
│   │               │   ├── grm155r71c223ka01.s2p
│   │               │   ├── grm188r60j106me47.s2p
│   │               │   ├── grm188r60j225ke01.s2p
│   │               │   ├── grm188r60j474ka01.s2p
│   │               │   ├── grm188r60j475ke19.s2p
│   │               │   └── grm188r71c105ka12.s2p
│   │               ├── demo.bnp
│   │               ├── demo_OPI.spd
│   │               ├── demo_decap_library.amm
│   │               └── demo_decap_library.xml
│   ├── Chapter3
│   │   ├── Completed
│   │   │   ├── 20250d01.brd
│   │   │   ├── CLK_33M_SB.top
│   │   │   ├── CLK_33M_VGA.top
│   │   │   ├── MPC948.dml
│   │   │   ├── MPC948.ibs
│   │   │   ├── PCI_LO0_AD14.top
│   │   │   ├── PCI_LO0_AD25.top
│   │   │   ├── devices.dml
│   │   │   ├── interconn.iml
│   │   │   ├── pcid.dml
│   │   │   ├── pcid.ibs
│   │   │   ├── south_bridge.dml
│   │   │   └── south_bridge.ibs
│   │   ├── Original
│   │   │   ├── 20250d01.brd
│   │   │   ├── MPC948.ibs
│   │   │   ├── allegro.jrl
│   │   │   ├── devices.dml
│   │   │   ├── devices.dml,1
│   │   │   ├── ibis_models.inc,1
│   │   │   ├── interconn.iml
│   │   │   ├── interconn.iml,1
│   │   │   ├── master.tag
│   │   │   ├── pcix64_spec_test.ibs
│   │   │   ├── signoise.log
│   │   │   ├── signoise.log,1
│   │   │   ├── signoise.log,2
│   │   │   ├── signoise.log,3
│   │   │   ├── signoise.run
│   │   │   │   ├── case1
│   │   │   │   │   └── case.cfg
│   │   │   │   ├── cases.cfg
│   │   │   │   ├── cases.cfg,1
│   │   │   │   ├── signoise.cfg
│   │   │   │   └── signoise.cfg,1
│   │   │   ├── sigxp.dml
│   │   │   ├── sigxp.dml,1
│   │   │   ├── sigxp.jrl
│   │   │   ├── sigxp.jrl,1
│   │   │   ├── sigxp.run
│   │   │   │   ├── case0
│   │   │   │   │   ├── case.cfg
│   │   │   │   │   ├── case.cfg,1
│   │   │   │   │   ├── projstate.dat
│   │   │   │   │   ├── sigsimcntl.dat
│   │   │   │   │   ├── sigsimres.dat
│   │   │   │   │   ├── sim1
│   │   │   │   │   │   ├── comp_rlgc.inc
│   │   │   │   │   │   ├── comps.spc
│   │   │   │   │   │   ├── cycle.msm
│   │   │   │   │   │   ├── delay.dl
│   │   │   │   │   │   ├── distortion.dst
│   │   │   │   │   │   ├── ibis_models.inc
│   │   │   │   │   │   ├── interconn.spc
│   │   │   │   │   │   ├── main.spc
│   │   │   │   │   │   ├── ntl_rlgc.inc
│   │   │   │   │   │   ├── stimulus.spc
│   │   │   │   │   │   └── tlsim.log
│   │   │   │   │   └── waveforms
│   │   │   │   │       ├── sim1.cfg
│   │   │   │   │       └── sim1.sim
│   │   │   │   ├── cases.cfg
│   │   │   │   ├── cases.cfg,1
│   │   │   │   ├── signoise.cfg
│   │   │   │   └── signoise.cfg,1
│   │   │   ├── sweep_rpt_tab.txt
│   │   │   └── unnamed.brd
│   │   └── Readme.txt
│   ├── Chapter4
│   │   ├── Completed
│   │   │   └── ddr3_4mem_copy
│   │   │       ├── Ref
│   │   │       │   └── D
│   │   │       │       └── ddr3demo
│   │   │       │           ├── ddr3.spd
│   │   │       │           ├── ddr3_032614_124427_12012.bnp
│   │   │       │           └── ddr3_032614_124427_12012.ckt
│   │   │       ├── ddr3_4mem_copy.ssix
│   │   │       ├── history
│   │   │       │   └── 1
│   │   │       ├── memory.ibs
│   │   │       ├── memory_copy1.ibs
│   │   │       ├── memorycontroller.ibs
│   │   │       ├── memorycontroller_copy1.ibs
│   │   │       ├── result
│   │   │       │   ├── Controller_memorycontroller_copy1.sp
│   │   │       │   ├── Mem1_memory_copy1.sp
│   │   │       │   ├── Mem2_memory_copy1.sp
│   │   │       │   ├── Mem3_memory_copy1.sp
│   │   │       │   └── Mem4_memory_copy1.sp
│   │   │       ├── rpacks.sp
│   │   │       └── vrm1.sp
│   │   ├── Original
│   │   │   ├── ddr3.spd
│   │   │   ├── memory.ibs
│   │   │   └── memorycontroller.ibs
│   │   └── Readme.txt
│   ├── Chapter5
│   │   ├── Mixed_mode_S_demo
│   │   │   ├── Mixed_S.brd
│   │   │   ├── Mixed_S.spd
│   │   │   └── mixed_mode_vs.S8P
│   │   ├── Model_extraction
│   │   │   ├── pcb_extraction
│   │   │   │   ├── layout_raw
│   │   │   │   │   └── channel_pcb_m1.spd
│   │   │   │   ├── layout_ready_go
│   │   │   │   │   ├── channel_pcb_m1.spd
│   │   │   │   │   └── channel_pcb_m1_disable_capacitor.spd
│   │   │   │   └── models
│   │   │   │       ├── channel_pcb.S16P
│   │   │   │       ├── channel_pcb.ckt
│   │   │   │       ├── channel_pcb_m1_disable_capacitor.S27P
│   │   │   │       └── channel_pcb_m1_disable_capacitor.ckt
│   │   │   └── pkg_extraction_done
│   │   │       ├── layout_raw
│   │   │       │   └── channel_bga.spd
│   │   │       ├── layout_ready_go
│   │   │       │   ├── channel_bga_debug.spd
│   │   │       │   └── sss.ximx
│   │   │       └── model_pkg
│   │   │           ├── sss_channel_bga_debug_DCResistance.csv
│   │   │           ├── sss_channel_bga_debug_PinModel.csv
│   │   │           ├── sss_channel_bga_debug_SPICE_pi.ckt
│   │   │           ├── sss_channel_bga_debug_SPICE_t.ckt
│   │   │           ├── sss_channel_bga_debug_SegmentC.csv
│   │   │           ├── sss_channel_bga_debug_SegmentL.csv
│   │   │           ├── sss_channel_bga_debug_SegmentR.csv
│   │   │           ├── sss_channel_bga_debug_TableContent.csv
│   │   │           ├── sss_channel_bga_debug_signal_Xtalk.csv
│   │   │           └── sss_channel_bga_debug_signal_Xtalk_diffpair.csv
│   │   ├── Readme.txt
│   │   ├── SERDES_DEMO
│   │   │   ├── 3diff_pair_pkg_DC_20GHz.S14P
│   │   │   ├── 3diff_pairs_vrm_noise_DC_20GHz.S16P
│   │   │   ├── Ref
│   │   │   │   ├── D
│   │   │   │   │   └── Cadence
│   │   │   │   │       └── SPB_16.6
│   │   │   │   │           └── ASI
│   │   │   │   │               └── BASE
│   │   │   │   │                   └── SpeedXP
│   │   │   │   │                       └── Library
│   │   │   │   │                           └── template
│   │   │   │   │                               └── SystemSI
│   │   │   │   │                                   └── SerialLink
│   │   │   │   │                                       └── amiwin
│   │   │   │   │                                           ├── amidfe.ami
│   │   │   │   │                                           ├── amidfe2.dll
│   │   │   │   │                                           ├── amiffe.ami
│   │   │   │   │                                           └── amiffe.dll
│   │   │   │   └── J
│   │   │   │       └── 13.0
│   │   │   │           └── latest
│   │   │   │               └── SpeedXP
│   │   │   │                   └── Library
│   │   │   │                       └── template
│   │   │   │                           └── SystemSI
│   │   │   │                               ├── SerialLink
│   │   │   │                               │   └── jitter_tol
│   │   │   │                               │       └── mask
│   │   │   │                               │           └── jtolmask.txt
│   │   │   │                               └── leading_bits.txt
│   │   │   ├── SERDES_DEMO_2014_May_8.ssix
│   │   │   ├── Thumbs.db
│   │   │   ├── capacitor.sp
│   │   │   ├── channel_pcb.S16P
│   │   │   ├── channel_pcb.ckt
│   │   │   ├── channel_pcb_m1_disable_capacitor.S27P
│   │   │   ├── channel_pcb_m1_disable_capacitor.ckt
│   │   │   ├── pcb_3pr_ni.sp
│   │   │   ├── pwrNoise.hss
│   │   │   ├── pwrNoise.vmi
│   │   │   ├── rx_bhvr_ni.sp
│   │   │   ├── rx_pkg_3pr_ni.sp
│   │   │   ├── tx_bhvr_icml_ni.sp
│   │   │   ├── tx_pkg_3pr_ni.sp
│   │   │   ├── tx_pwrNoise.sp
│   │   │   ├── vrm3.sp
│   │   │   └── ~$Non_Ideal_PDS_SSI_SLA.pptx
│   │   ├── clk_ba
│   │   │   ├── chan.sp
│   │   │   ├── channel_bga.s4p
│   │   │   ├── channel_rlc.txt
│   │   │   ├── clk_ba.ssix
│   │   │   ├── history
│   │   │   │   └── 1
│   │   │   ├── ironclk_b_a.rfm
│   │   │   ├── ironclk_b_a.s4p
│   │   │   ├── ironclk_b_a_BBSckt.txt
│   │   │   ├── ironclk_b_a_Foster.txt
│   │   │   ├── ironclk_b_a_for_RFM.txt
│   │   │   ├── pkg_diff0.rlc
│   │   │   ├── result
│   │   │   │   └── ac
│   │   │   ├── rx_bhvr.sp
│   │   │   ├── rx_pkg.sp
│   │   │   ├── scd_example.ibs
│   │   │   ├── tx_bhvr.sp
│   │   │   └── tx_pkg.sp
│   │   ├── differential_vias
│   │   │   ├── diff_via
│   │   │   │   └── diff_via.spd
│   │   │   ├── diff_via_small_anti
│   │   │   │   └── diff_via.spd
│   │   │   └── p_g_no_cap
│   │   │       └── diff_via_p_g_cap.spd
│   │   ├── fiberweave_evaluate
│   │   │   ├── resonance_fequency_calculate.xlsx
│   │   │   └── stripline_differential_rotate_10.spd
│   │   └── skew_evaluate
│   │       ├── final_point_correction.S4P
│   │       ├── no_correction.S4P
│   │       ├── on_way_correction.S4P
│   │       ├── skew.brd
│   │       ├── skew.spd
│   │       ├── skew_correct_on_final_cut.spd
│   │       └── skew_correct_on_way_cut.spd
│   ├── Chapter6
│   │   ├── Lab1_EMI_SPEED2000
│   │   │   ├── Completed
│   │   │   │   ├── I80386S-1.ibs
│   │   │   │   ├── Trace_Pad_Library
│   │   │   │   │   ├── s2k_EMI_radiation_TracePadLibrary.log
│   │   │   │   │   ├── s2k_EMI_radiation_capdisabled_TracePadLibrary.log
│   │   │   │   │   ├── s2k_EMI_radiation_capdisabled_lossy.lib
│   │   │   │   │   └── s2k_EMI_radiation_lossy.lib
│   │   │   │   ├── dc.out
│   │   │   │   ├── execution_time.log
│   │   │   │   ├── fullfinal_Signal$GND_Signal$VCC_P.txt
│   │   │   │   ├── memory_time.log
│   │   │   │   ├── profile_spd.log
│   │   │   │   ├── s2k_EMI_radiation.reg
│   │   │   │   ├── s2k_EMI_radiation.rtc
│   │   │   │   ├── s2k_EMI_radiation.spd
│   │   │   │   ├── s2k_EMI_radiation_042314_093247_P.CUR
│   │   │   │   ├── s2k_EMI_radiation_042314_093247_V.CUR
│   │   │   │   ├── s2k_EMI_radiation_052914_170057_P.CUR
│   │   │   │   ├── s2k_EMI_radiation_052914_170057_V.CUR
│   │   │   │   ├── s2k_EMI_radiation_capdisabled.reg
│   │   │   │   ├── s2k_EMI_radiation_capdisabled.rtc
│   │   │   │   ├── s2k_EMI_radiation_capdisabled.spd
│   │   │   │   ├── s2k_EMI_radiation_capdisabled_042214_215429_P.CUR
│   │   │   │   ├── s2k_EMI_radiation_capdisabled_042214_215429_V.CUR
│   │   │   │   ├── s2k_EMI_radiation_capdisabled_spdsim.err
│   │   │   │   ├── s2k_EMI_radiation_capdisabled_spdsim_goldenparser.log
│   │   │   │   ├── s2k_EMI_radiation_spdsim.err
│   │   │   │   └── s2k_EMI_radiation_spdsim_goldenparser.log
│   │   │   └── Original
│   │   │       ├── I80386S-1.ibs
│   │   │       └── s2k_EMI_radiation.spd
│   │   ├── Lab2_EMI_PowerSI
│   │   │   ├── Completed
│   │   │   │   ├── CPU_Info.log
│   │   │   │   ├── OWindowContents_PSI.log
│   │   │   │   ├── Options.xml
│   │   │   │   ├── PowerSIRunTimeError.log
│   │   │   │   ├── ResourceProfile_PSI.log
│   │   │   │   ├── Trace_Pad_Library
│   │   │   │   │   ├── psi_EMI_radiation_TracePadLibrary.log
│   │   │   │   │   └── psi_EMI_radiation_lossy.lib
│   │   │   │   ├── psi_EMI_radiation.spd
│   │   │   │   ├── psi_EMI_radiation_052914_170929_49468.cur
│   │   │   │   ├── psi_EMI_radiation_49468.reg
│   │   │   │   ├── psi_EMI_radiation_49468.rtc
│   │   │   │   └── psi_EMI_radiation_PowerSI.err
│   │   │   └── Original
│   │   │       └── psi_EMI_radiation.spd
│   │   ├── Lab3_EMI_OptimizePI
│   │   │   ├── Completed
│   │   │   │   ├── Decaplib.amm
│   │   │   │   ├── Decaplib.xml
│   │   │   │   ├── Options.xml
│   │   │   │   ├── S-Parameter File
│   │   │   │   │   ├── gcm155r71c104ka55.s2p
│   │   │   │   │   ├── grm155r60j105ke19.s2p
│   │   │   │   │   ├── grm155r61a224ke19.s2p
│   │   │   │   │   ├── grm155r61a474ke15.s2p
│   │   │   │   │   ├── grm155r71a333ka01.s2p
│   │   │   │   │   ├── grm155r71a473ka01.s2p
│   │   │   │   │   ├── grm155r71c103ka01.s2p
│   │   │   │   │   ├── grm155r71c104ka88.s2p
│   │   │   │   │   ├── grm155r71c223ka01.s2p
│   │   │   │   │   ├── grm188r60j106me47.s2p
│   │   │   │   │   ├── grm188r60j225ke01.s2p
│   │   │   │   │   ├── grm188r60j474ka01.s2p
│   │   │   │   │   ├── grm188r60j475ke19.s2p
│   │   │   │   │   └── grm188r71c105ka12.s2p
│   │   │   │   ├── demo_EMI1.spd
│   │   │   │   ├── demo_EMI1_OptimizePI.err
│   │   │   │   ├── mytest0327.opix
│   │   │   │   ├── mytest0327_EMI.bnp
│   │   │   │   ├── mytest0327_EMI_Optimization.restart
│   │   │   │   ├── mytest0327_OPI.err
│   │   │   │   ├── mytest0327_OPI.log
│   │   │   │   └── mytest0327_OptimizationResult.dat
│   │   │   └── Original
│   │   │       ├── Decaplib.amm
│   │   │       ├── Decaplib.xml
│   │   │       ├── S-Parameter File
│   │   │       │   ├── gcm155r71c104ka55.s2p
│   │   │       │   ├── grm155r60j105ke19.s2p
│   │   │       │   ├── grm155r61a224ke19.s2p
│   │   │       │   ├── grm155r61a474ke15.s2p
│   │   │       │   ├── grm155r71a333ka01.s2p
│   │   │       │   ├── grm155r71a473ka01.s2p
│   │   │       │   ├── grm155r71c103ka01.s2p
│   │   │       │   ├── grm155r71c104ka88.s2p
│   │   │       │   ├── grm155r71c223ka01.s2p
│   │   │       │   ├── grm188r60j106me47.s2p
│   │   │       │   ├── grm188r60j225ke01.s2p
│   │   │       │   ├── grm188r60j474ka01.s2p
│   │   │       │   ├── grm188r60j475ke19.s2p
│   │   │       │   └── grm188r71c105ka12.s2p
│   │   │       ├── TEST.opix
│   │   │       └── demo_EMI1.spd
│   │   └── Readme.txt
│   └── Chapter8
│       ├── Readme.TXT
│       ├── Thermal_Analysis
│       │   ├── fccsp_pkg.pdcx
│       │   └── fccsp_pkg.spd
│       ├── XtractIM_package_model
│       │   ├── Completed
│       │   │   ├── OWindowContents_PSI.log
│       │   │   ├── Options.xml
│       │   │   ├── ResourceProfile_XtractIM.log
│       │   │   ├── Result_Untitled_single_die_061814_125806_8080
│       │   │   ├── Result_Untitled_single_die_061814_125806_8080_RLC_Matrix.xim
│       │   │   ├── Untitled_single_die_DCResistance.csv
│       │   │   ├── Untitled_single_die_PinModel.csv
│       │   │   ├── Untitled_single_die_SPICE_pi.ckt
│       │   │   ├── Untitled_single_die_SPICE_t.ckt
│       │   │   ├── Untitled_single_die_SegmentC.csv
│       │   │   ├── Untitled_single_die_SegmentL.csv
│       │   │   ├── Untitled_single_die_SegmentR.csv
│       │   │   ├── Untitled_single_die_TableContent.csv
│       │   │   ├── Untitled_single_die_signal_Xtalk.csv
│       │   │   ├── Untitled_single_die_signal_Xtalk_diffpair.csv
│       │   │   ├── XtractIMRunTimeError.log
│       │   │   ├── Xtracted_PinNode_Info.log
│       │   │   ├── ibis_untitled_single_die_pin.ibs
│       │   │   ├── readme.TXT
│       │   │   ├── single_die.sip
│       │   │   └── single_die_XtractIM.err
│       │   └── Original
│       │       └── single_die.sip
│       └── xpi_demo_iome
│           ├── xcitepi_sample.tar.gz
│           └── xpi_demo_iome
│               ├── demo1.gds
│               ├── demo1.map
│               ├── demo1_iome.tcl
│               ├── demo1_iome.tech
│               └── demo1_iome_circuit_def.txt
└── Cadence高速电路设计——Allegro Sigrity SI-PI-EMI设计指南   随书光盘网盘.zip

218 directories, 1047 files


实例下载地址

Cadence高速电路设计——Allegro Sigrity SI-PI-EMI设计指南 随书光盘网盘

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警