在好例子网,分享、交流、成长!
您当前所在位置:首页Others 开发实例一般编程问题 → QUARTUS2的VHDL例程

QUARTUS2的VHDL例程

一般编程问题

下载此实例
  • 开发语言:Others
  • 实例大小:36.63M
  • 下载次数:6
  • 浏览次数:64
  • 发布时间:2021-06-16
  • 实例类别:一般编程问题
  • 发 布 人:leo.wh
  • 文件格式:.rar
  • 所需积分:2
 相关标签: VHDL HDL 例程

实例介绍

【实例简介】包含计数器、分频、数码管、译码器、数据选择器、AD、DA、状态机等

【实例图片】


【实例代码】


LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY seg7_36 IS

PORT(clk,rst:IN STD_LOGIC;

          q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

          q_c:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END ENTITY seg7_36;

ARCHITECTURE fun OF seg7_36 IS

 SIGNAL cnt: STD_LOGIC_VECTOR(3 DOWNTO 0);

 SIGNAL cnt_c: STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

    PROCESS(clk)

    BEGIN

       IF rst='1'THEN

              cnt<="0000";

              cnt_c<="00";

       ELSIF(clk'event and clk='1')THEN

              cnt<=cnt 1;

             IF(cnt<="1000")THEN

           CASE cnt IS

                           WHEN "0000"=>q<="0000110";//1

                           WHEN "0001"=>q<="1011011";//2

                           WHEN "0010"=>q<="1001111";//3

                           WHEN "0011"=>q<="1100110";//4

                           WHEN "0100"=>q<="1101101";//5

                           WHEN "0101"=>q<="1111101";//6

                           WHEN "0110"=>q<="0000111";//7

                           WHEN "0111"=>q<="1111111";//8

                           WHEN "1000"=>q<="1100111";//9

                           WHEN OTHERS=>q<="0000000";

                     END CASE;

                  ELSIF(cnt>"1000")THEN

                   cnt<="0000";

                         cnt_c<=cnt_c 1;

                  CASE cnt_c IS

                           WHEN "00"=>q_c<="1110";

                           WHEN "01"=>q_c<="1101";

                           WHEN "10"=>q_c<="1011";

                           WHEN "11"=>q_c<="0111";

                  END CASE;

             END IF;

            END IF;

         END PROCESS;

END ARCHITECTURE fun;


标签: VHDL HDL 例程

实例下载地址

QUARTUS2的VHDL例程

不能下载?内容有错? 点击这里报错 + 投诉 + 提问

好例子网口号:伸出你的我的手 — 分享

网友评论

发表评论

(您的评论需要经过审核才能显示)

查看所有0条评论>>

小贴士

感谢您为本站写下的评论,您的评论对其它用户来说具有重要的参考价值,所以请认真填写。

  • 类似“顶”、“沙发”之类没有营养的文字,对勤劳贡献的楼主来说是令人沮丧的反馈信息。
  • 相信您也不想看到一排文字/表情墙,所以请不要反馈意义不大的重复字符,也请尽量不要纯表情的回复。
  • 提问之前请再仔细看一遍楼主的说明,或许是您遗漏了。
  • 请勿到处挖坑绊人、招贴广告。既占空间让人厌烦,又没人会搭理,于人于己都无利。

关于好例子网

本站旨在为广大IT学习爱好者提供一个非营利性互相学习交流分享平台。本站所有资源都可以被免费获取学习研究。本站资源来自网友分享,对搜索内容的合法性不具有预见性、识别性、控制性,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,平台无法对用户传输的作品、信息、内容的权属或合法性、安全性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论平台是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二与二十三条之规定,若资源存在侵权或相关问题请联系本站客服人员,点此联系我们。关于更多版权及免责申明参见 版权及免责申明

;
报警